联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(11) 
按平台查找All matlab(11) 

[VHDL/FPGA/Verilog] heng

wolf 方法计算李雅普诺夫指数,实现用SDRAM运行nios,同时用SRAM保存摄像头数据,最大信噪比的独立分量分析算法。
wolf calculated Lyapunov exponent, Implemented with SDRAM run nios, while saving camera data SRAM, SNR largest independent component analysis algorithm. (2017-03-18, matlab, 4KB, 下载1次)

http://www.pudn.com/Download/item/id/1489821695542456.html

[VHDL/FPGA/Verilog] MyMQAM

QAM调制解调matlab程序,可以看不同信噪比下的结果。
QAM modulation and demodulation matlab program, you can see the results under different SNR. (2013-08-27, matlab, 1KB, 下载6次)

http://www.pudn.com/Download/item/id/2340803.html

[VHDL/FPGA/Verilog] DTMB

能够完美产生数字地面电视(DTMB)的信源的程序。帧头模式为模式一。信道可选择,信号加入频偏,延时,后经滤波器后输出。
Able to produce perfect digital terrestrial television (DTMB) of the source program. Mode is the mode a header. Channels to choose from, the signal adding offset, delay, after the filter output. (2013-07-25, matlab, 3KB, 下载119次)

http://www.pudn.com/Download/item/id/2314012.html

[VHDL/FPGA/Verilog] OFDM

OFDM完美出图,信噪比,16QAM星座图,加窗信号时域和频域波形图
Perfect figure, OFDM SNR, 16 qam constellation diagram, add window signal time domain and frequency domain waveform figure (2013-06-05, matlab, 4KB, 下载143次)

http://www.pudn.com/Download/item/id/2270895.html

[VHDL/FPGA/Verilog] Code

产生一个长为1000的二进制随机序列,“0”的概率为0.8,”1”的概率为0.2; 对上述数据进行归零AMI编码,脉冲宽度为符号宽度的50 ,波形采样率为符号率的8倍,画出前20个符号对应的波形(同时给出前20位信源序列) 改用HDB3码,画出前20个符号对应的波形 改用密勒码,画出前20个符号对应的波形 分别对上述1000个符号的波形进行功率谱估计,画出功率谱 改变信源“0”的概率,观察AMI码的功率谱变化情况
Have a length of 1000 random binary sequence, the probability of "0" is 0.8, and the probability of "1" is 0.2 Said data zeroing AMI coding, pulse width of 50 of the width of the symbol, the symbol rate of the waveform sampling rate of 8 times, to draw the first 20 symbols of the corresponding waveform (also given 20 source sequence) HDB3 code switch, draw 20 symbols corresponding waveform Switch to Miller code, draw 20 symbols corresponding waveform The 1000 symbol waveform power spectrum estimation, draw power spectrum The probability of "0" to change the source observed power spectrum of the AMI code changes (2012-12-15, matlab, 2KB, 下载21次)

http://www.pudn.com/Download/item/id/2084273.html

[VHDL/FPGA/Verilog] modulation-realise

用Matlab产生一个频率为1Hz、功率为1的余弦信源,设载波频率为10Hz,并画出: 1.DSB调制信号及其功率谱密度; 2.将已调信号解调,在时域内将解调后的波形与原信号进行对比; 3.A=2的AM调制信号及其功率谱密度; 4.SSB调制信号及其功率谱密度; 5.在信道中各自加入经过带通滤波器后的窄带高斯白噪声,功率为0.1,解调各个信号,并画出解调后的波形。
Matlab produce a frequency for 1 hz, power for 1 cosine source, a carrier frequency for 10 hz and draw the: 1. The DSB modulation signal and power spectral density 2. Will be modulated signal demodulation, in the time domain waveform and the demodulation of the original signal are compared 3. A = 2 AM modulation signal and power spectral density 4. SSB modulation signal and power spectral density 5. In the channel of each join after bandpass filter after the narrow band gaussian white noise, power is 0.1, each signal demodulation, and draw the demodulation of waveform. (2012-12-04, matlab, 19KB, 下载77次)

http://www.pudn.com/Download/item/id/2070844.html

[VHDL/FPGA/Verilog] FMC2xiaodaikun

FMC的小带宽信经号过低通滤波器后的仿真波束形成
FMC' s small bandwidth signal simulation beamforming (2012-11-01, matlab, 1KB, 下载5次)

http://www.pudn.com/Download/item/id/2033438.html

[VHDL/FPGA/Verilog] dediff_8psk

应用MATLAB仿真8PSK调制解调以及其误码率与信噪比的关系
Using MATLAB simulation 8PSK modulation and demodulation, as well as the relationship between error rate and SNR (2012-07-20, matlab, 3KB, 下载22次)

http://www.pudn.com/Download/item/id/1944468.html

[VHDL/FPGA/Verilog] Tgmsskziph

本程序源码为通信系统中的GMSK调制程序源码,对于研究通通信调制解调的人来说十分有用! 可直接使用。 已通过测试。
The program source code for GMSK modulation communication systems program source code, very useful for the study through communication modem Can be used directly. Has been tested. (2012-06-28, matlab, 20KB, 下载8次)

http://www.pudn.com/Download/item/id/1925494.html

[VHDL/FPGA/Verilog] MATLAB-and-verilog

1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制
A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and signal to noise ratio of the demodulator input and output relationship. 2 using Verilog language has signed five digital and analog multiplier 3 modulation (2011-11-10, matlab, 546KB, 下载60次)

http://www.pudn.com/Download/item/id/1694844.html

[VHDL/FPGA/Verilog] CORRECE

使用MATLAB完成CDMA系统的相关接收机,其中哈达码矩阵为128阶,仿真比特信噪比为-10DB
CDMA system using MATLAB to complete the relevant receivers, which Hadamard matrix of 128 bands, simulation-10DB-bit signal to noise ratio for (2008-08-06, matlab, 1KB, 下载43次)

http://www.pudn.com/Download/item/id/524441.html
总计:11