联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(2) 
按平台查找All Asm(2) 

[VHDL/FPGA/Verilog] temp_alarm

程序为温度报警系统,功能如下: 1. 显示当前时间和温度,时间显示采用实时时钟,掉电后时钟继续工作; 2. 可以通过外部键盘设置温度的上限值和下限值,温度超过上限值和下限值分别报警,并有声光指示; 3. 报警后记录当前温度和时间,并保存到EEPROM,每5分钟最多报警一次,报警记录要求能够查询;
Procedures for the temperature alarm system, functions as follows: 1. Displays the current time and temperature, time display real-time clock, the clock continues to operate after power 2 external keyboard can set the temperature of the upper limit and lower limit, the temperature exceeds the upper alarm limit and lower limit, respectively, and a sound and light indication 3 alarm record the current temperature and time, and saved to EEPROM, once every 5 minutes up to an alarm, the alarm recording requires the ability to query (2013-08-20, Asm, 7KB, 下载5次)

http://www.pudn.com/Download/item/id/2335138.html

[VHDL/FPGA/Verilog] ethern

此代码是用Verilog实现的以太网接口,在此基础上做修改,可以作为一般的以太网接口程序开发.
this Verilog code is used to achieve the Ethernet interface, in this done on the basis of changes as a general Ethernet interface development. (2006-06-05, Asm, 121KB, 下载127次)

http://www.pudn.com/Download/item/id/191499.html
总计:2