联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按平台查找All VHDL(16) 

[嵌入式/单片机/硬件编程] MZ7XA_HW_20190918_sch

米联客开发原理图资料-MZ7XAB(2019版本)
Description of Milian passenger information and course catalogue - mz7xab (version 2019) (2020-04-30, VHDL, 1697KB, 下载4次)

http://www.pudn.com/Download/item/id/1588230166437999.html

[VHDL/FPGA/Verilog] 自动升降电梯

设计了一个6层楼的电梯控制器。该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警;同时指示电梯运行情况和电梯内外请求信息。 其中电梯控制方式为: 1.内部请求优先控制方式 2.单向层层停控制方式 3.方向优先控制方式
A six floor elevator controller is designed. The controller can control the elevator to finish the customer service of six floors and follow the principle of direction priority. It can respond to the door closing delay in advance, and has overload alarm and fault alarm. At the same time, it can indicate the operation of the elevator and the request information inside and outside the elevator. The elevator control mode is: 1. Internal request priority control method 2. One way layer by layer stop control mode 3. direction priority control mode (2020-03-13, VHDL, 931KB, 下载5次)

http://www.pudn.com/Download/item/id/1584091683160018.html

[网址推荐] 绝地求生-手游外挂辅助

被停服的(云服务器、云数据库MySQL、黑石物理服务器)设备可以在回收站进行找回。 部分业务已支持自动续费功能,您可前往腾讯云官网控制台续费管理页面进行设置,设置成功后在账户余额充足的情况下我们会您的云资源进行自动续费。 温馨提醒: 仅有财务权限的账号可进行充值,若您不具有财务权限,请联系有权限的账号进行充值。 感谢您对腾讯云的信赖与支持,若后续有任何问题可拨打:4009-100-100或者登录工单系统提单反馈给我们,我们将第一时间为您核实处理。
Material: 100% Polyester High Crown Structured fit Flat bill Fitted Six panel construction with embroidered eyelets Embroidered graphics Raised embroidery Moveable metal pin with enameled graphics Embroidered fabric appliques Surface Washable Officially licensed Imported Brand: New Era Description Exemplify your impressive Chicago Bulls fandom when you don this dynamic Draft 59FIFTY fitted hat from New Era. It'll be clear to everyone around you on game day that your enthusiastic Chicago Bulls fervor is nothing to be messed with when you sport this fresh cap! (2018-06-08, VHDL, 628KB, 下载1次)

http://www.pudn.com/Download/item/id/1528434663784249.html

[VHDL/FPGA/Verilog] xapp1052

Xilinx 关于PCIE读写控制的官方例程。
Xilinx PCIE Demo (2016-10-21, VHDL, 13345KB, 下载42次)

http://www.pudn.com/Download/item/id/1476990714874871.html

[VHDL/FPGA/Verilog] Verilog

最经典的VHDL学习资料,千万不要错过哦。夏永闻主编的那本书,不想买书的速来
VHDL learning the most exciting tutorials do not miss it (2016-06-25, VHDL, 22257KB, 下载1次)

http://www.pudn.com/Download/item/id/1466855188304765.html

[VHDL/FPGA/Verilog] beverage

模拟饮料售货机 有限状态机练习的好例子 一厅饮料2.5元 只收1元或5角
A good example of a finite state machine vending machine simulation exercise (2016-05-08, VHDL, 2KB, 下载1次)

http://www.pudn.com/Download/item/id/1462674802170985.html

[VHDL/FPGA/Verilog] MSK

FPGA中实现的MSK调制,带modelsim仿真。实际系统测试通过:载波和调制波信号频率可调。调制框图请参见樊昌信 通信原理247页
MSK modulation implemented in FPGA with modelsim simulation. The actual test system: a carrier wave signal and the modulation frequency is adjustable. See Fan Changxin modulation block diagram of communication theory 247 (2014-04-09, VHDL, 1690KB, 下载69次)

http://www.pudn.com/Download/item/id/2506230.html

[系统设计方案] elevator-design

实现功能简介: 1)联动功能: 两部电梯之间相互联动,即同时按下任何一部电梯的外部向上或向下键之后,两部电梯同时接受此指令,然后由系统判断,与该请求所在楼层最近并且运行方向相同的电梯执行指令,另一部电梯不执行该指令。 2)手动开门功能:考虑到在实际生活中可能出现电梯上客时间不够的情况,在电梯内部设置了该功能,按下开门键,电梯延长开门时间。 3)报警功能:本例中为报警状态设置了单独的状态,意在考虑到现实生活中多种多样的报警原因,报警之后,门打开,处于等待修理的状态,在修理完毕之后,按下复位键使得电梯重新开始运行。
Function introduction: 1) linkage function: Two elevators linkage between, that is, at the same time in an elevator any external up or down key later, two elevators and accept the instructions, then the system judgment, and the request in the floor and running the same direction recently the elevator the instruction execution, another lift not execute the instructions. 2) manual open the door function: to consider in the practical life may appear on the elevator of guest time enough, in the elevator set up inside the function, press the key to open the door, elevator extend time to open the door. 3) alarm function: in the case of the state for alarm set separate state to consider to real life DuoZhong variety of alarm reason, after the alarm, the door opened, in a waiting state of repair, in after the repair, press the reset button to make elevator start running. (2011-11-07, VHDL, 14KB, 下载9次)

http://www.pudn.com/Download/item/id/1691210.html

[VHDL/FPGA/Verilog] paomadeng

跑马灯代码 阿斯好说的卡上接电话卡结舌杜口京哈蜀客多积货按时间dha空手道会卡水的空间has快结婚ask接电话
good VHDL code asdhkashdkajshdkahskdjhaskjdhkash jkasdhkajsdh akjsdh ajkshd kajshd asjdh kajdh (2011-08-19, VHDL, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/1626525.html

[VHDL/FPGA/Verilog] DMX512_2_23

本系统设计利用FPGA设计了一个接在电脑串口上的一个DMX512协议的转接卡,它可以让你的电脑变成一台超强的电脑灯控制台或者调光台、LED控制器等。通过电脑软件,可以控制电脑灯或者其他DMX512协议的设备,比如LED灯、激光灯、PAR灯、DJ设备等等。 本系统还有体积小巧携带方便等特点,足够一般的娱乐场所、多功能厅、会议厅等场所使用,同时采用电脑进行灯光的控制,也可以提升工程的技术含量,显得更高科技。通过简单更改DMX模块的UART部分,还可以将串口转换usb接口,不过由于手头上的FPGA开发板没有USB接口,所以使用UART接口进行测试。
The system design using FPGA, a serial port on the computer then a DMX512 protocol adapter, it can make your computer into a super computer console or lighting console lights, LED controller. Through computer software, can control lights or other DMX512 protocol computer equipment, such as LED lights, laser lights, PAR lamps, DJ equipment. The system also features compact, portable and so on, is sufficient for most of the entertainment, function rooms, conference rooms and other places to use, while using computer control of lighting can also enhance the project s technical content, appears to higher technology. DMX module by simply changing the UART portion can also convert usb serial interface, however, because the FPGA development board on hand no USB interface, so tests using the UART interface. (2010-07-11, VHDL, 2171KB, 下载270次)

http://www.pudn.com/Download/item/id/1238308.html

[VHDL/FPGA/Verilog] VHDL-dianti

高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。 注:此为word文档,但里面有源代码。
High-rise elevator control system (Windows platform programming software running on the ispLEVER. ): An elevator control system and from 1-9 floors. 2, the number of passengers going to the floor can manually enter and display (Make A number). 3 ladder run automatically display the number of floors (Set B number). 4A> B, the system can output three-phase motor is transferred to the timing signal to lift up When A <B, the system can output three-phase motor to reverse the timing signal to the lift down When A = B, the system can output a signal to shut down three-phase motor, so that the lift stops and open the door 5 is increasing or decreasing the lift on each floor outside the door should be directed, due to lift on each floor outside the elevator up or down to the floor where the passenger control switch. Note: This is a word document, but inside the source code. (2010-06-10, VHDL, 34KB, 下载19次)

http://www.pudn.com/Download/item/id/1209148.html

[其他嵌入式/单片机内容] zdsjdt

自动升降电梯控制器设计 要求: 设计一个6层楼的电梯控制器。 该控制器可控制电梯完成6层楼的载客服而且遵循方向优先原则,并能响应提前关门延时关门,并具有超载报警和故障报警; 同时指示电梯运行情况和电梯内外请求信息。
Auto-Lift elevator controller design requirements: design of a six-story elevator controller. The controller can control the completion of six-story elevator containing the principle of giving priority customer service, and follow the directions, and can respond to close ahead of schedule delay closing, and has overload alarm and fault alarm also instructed the elevator and elevator operation request information both inside and outside. (2009-09-24, VHDL, 138KB, 下载15次)

http://www.pudn.com/Download/item/id/919978.html

[VHDL/FPGA/Verilog] div(FLP)

是Nios II處理器下客製化指令的一個32位元浮點數除法器,可將兩IEEE 754格式的值進行相除
Nios II processors are customized instruction under a 32-bit floating-point divider can be two format IEEE 754 value division (2009-03-17, VHDL, 18KB, 下载45次)

http://www.pudn.com/Download/item/id/677051.html

[嵌入式/单片机/硬件编程] nios

nios的教程,富昌的讲义,里面有初级的构造内核
Nios tutorials, Fu Cheong lectures, which are the primary structure of the kernel (2008-11-28, VHDL, 13730KB, 下载9次)

http://www.pudn.com/Download/item/id/591131.html

[VHDL/FPGA/Verilog] DE2_LCM_CCD_onchip.7z

將DE2連接到LCD版面上 內為友晶客科技公司所附製的程式碼
DE2 will connect to the LCD layout for Terasic off technology companies attached to the system code (2008-10-17, VHDL, 659KB, 下载50次)

http://www.pudn.com/Download/item/id/562751.html

[VHDL/FPGA/Verilog] 899207KEYBOARD_DEC-vhdl

数字平律己的设计非常实用 黄永显示早设计大方ijasd
The design of digital self-Ping Wong Wing-show as early as practical design Dafang ijasd (2008-10-04, VHDL, 1KB, 下载4次)

http://www.pudn.com/Download/item/id/555461.html
总计:16