联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All 通讯编程文档(64) 
按平台查找All matlab(64) 

[通讯编程文档] 读波形文件

程序可直接将示波器保存的波形txt文件读出,并绘图
The program can directly read and draw the waveform TXT file stored in the oscillograph. (2018-11-01, matlab, 1KB, 下载3次)

http://www.pudn.com/Download/item/id/1541054935121906.html

[通讯编程文档] 12

稀疏频率波形设计,权衡波形自相关函数的ISL和PSL
Sparse Frequency Waveform Design (2018-05-28, matlab, 10KB, 下载14次)

http://www.pudn.com/Download/item/id/1527472899234160.html

[通讯编程文档] 行人室内外无缝定位算法研究

基于GPS和自包含传感器的行人室内外无缝定位算法研究
Research on Seamless Positioning Algorithm for Pedestrians Based on GPS and Self - contained Sensor (2018-01-15, matlab, 6862KB, 下载22次)

http://www.pudn.com/Download/item/id/1516016926633644.html

[通讯编程文档] famre

pwm整流器的建模仿真,多姿态,多角度,有不同光照,最终的权值矩阵就是滤波器的系数。
Modeling and simulation pwm rectifier Much posture, multi-angle, have different light, The final weight matrix is ??the filter coefficient. (2017-05-22, matlab, 6KB, 下载1次)

http://www.pudn.com/Download/item/id/1495459068474560.html

[通讯编程文档] VirtualSignalGenerator

虚拟信号发生器是一个GUI的产生(1)周期函数(包括正弦、三角、锯齿波,方波)的变化幅度、频率和相位;(2)脉冲列车不同的振幅,频率,相位,和工作周期;(3)双参数指数函数;(4)高斯曲线变化的有界区域,标准偏差,和手段;和(5)不同幅度的高斯噪声。您也可以添加一个偏移或高斯噪声的任何上述四个功能。图形用户界面还允许用户添加指定的信号(或乘以)先前定义的信号。
Virtual Signal Generator is a GUI that produces (1) periodic functions (including sinusoidal, triangle, sawtooth, and square waves) of varying amplitudes, frequencies, and phases (2) square pulse trains of varying amplitudes, frequencies, phases, and duty cycles (3) two-parameter exponential functions (4) Gaussian curves of varying bounded areas, standard deviations, and means and (5) Gaussian noise of varying amplitude. One can also add an offset or Gaussian noise to any of the first four functions above. The GUI also enables the user to add the designated signal to (or multiply it by) a previously defined signal. (2017-05-15, matlab, 17KB, 下载9次)

http://www.pudn.com/Download/item/id/1494784725949679.html

[通讯编程文档] 45678912filter

常用数字滤波器的设计与实现,低通数字滤波器 和带通数字滤波器
The design and implementation of a digital filter (2017-05-05, matlab, 5KB, 下载5次)

http://www.pudn.com/Download/item/id/1493944254426022.html

[通讯编程文档] yao_v33

利用matlab针对图像进行马氏距离计算 ,插值与拟合,解方程,数据分析,利用matlab写成的窄带噪声发生。
Using matlab to calculate the Mahalanobis distance for the image, Interpolation and fitting, solution of equations, data analysis, Using matlab written narrowband noise occurs. (2017-05-01, matlab, 5KB, 下载1次)

http://www.pudn.com/Download/item/id/1493597617445481.html

[通讯编程文档] bqint

包含了阵列信号处理的常见算法,一种流形学习算法(很好用),利用matlab写成的窄带噪声发生。
Contains a common array signal processing algorithm, A fluid manifold learning algorithm (good use), Using matlab written narrowband noise occurs. (2017-04-24, matlab, 9KB, 下载2次)

http://www.pudn.com/Download/item/id/1493038116854029.html

[通讯编程文档] mb452

对信号进行频谱分析及滤波,汽车课设货车Matlab驱动力图程序,利用matlab写成的窄带噪声发生。
The signal spectral analysis and filtering, Car class-based truck driver trying to Matlab program, Using matlab written narrowband noise occurs. (2017-04-21, matlab, 5KB, 下载1次)

http://www.pudn.com/Download/item/id/1492763701790686.html

[通讯编程文档] tanghenfun

有详细的注释,进行波形数据分析,PLS部分最小二乘工具箱。
There are detailed notes, Waveform data analysis, PLS PLS toolbox. (2017-04-20, matlab, 8KB, 下载2次)

http://www.pudn.com/Download/item/id/1492676818747622.html

[通讯编程文档] Study-on-the-Control-Scheme

恒频恒压(CVCF)逆变电源的输出电压波形质量是衡量其性能的重要指标之一。文章采用一种重复控制和双闭环控制相结合的电压波形控制策略,以重复控制器提高逆变电源输出电压的稳态精度,减小总谐波畸变率;以双闭环控制器达到较快的动态响应速度。该方案在一台采用TMS320F240 DSP控制芯片的50 Hz三相PWM逆变器上得到验证。
Constant voltage constant frequency (CVCF) Inverter output voltage waveform quality is an important measure of its performance indicators. Paper using a repetitive control and the combination of double closed loop control voltage waveform control strategy to improve the repetitive controller output voltage inverter steady-state accuracy and reduce total harmonic distortion to achieve a faster dual-loop controller dynamic response speed. The program uses TMS320F240 DSP in a 50 Hz phase control chip PWM inverter has been to verify. (2011-05-17, matlab, 396KB, 下载157次)

http://www.pudn.com/Download/item/id/1535092.html

[通讯编程文档] wsn

孙利民,无线传感器网络,关于无线传感器,清华大学出版社
SUN Limin, wireless sensor networks, wireless sensors on the Tsinghua University Press (2011-05-04, matlab, 6433KB, 下载35次)

http://www.pudn.com/Download/item/id/1516278.html

[通讯编程文档] wuxian

基于权值的MAXDEV无线传感器网络定位算法研究 MAXDEV 无线传感器 定位 算法
Weight MAXDEV based wireless sensor network localization algorithm in wireless sensor localization algorithm MAXDEV (2010-08-26, matlab, 450KB, 下载12次)

http://www.pudn.com/Download/item/id/1280385.html

[通讯编程文档] pipeilvbo

匹配滤波器的实现。主要是通过fft变化使输出自相关最大,在通过滤波器。
Matched filter implementation. Mainly through changes in the output autocorrelation fft the largest through the filter. (2010-01-03, matlab, 1KB, 下载210次)

http://www.pudn.com/Download/item/id/1027262.html

[通讯编程文档] caiyangdingli

採樣定理的原理仿真(simulink),採樣前後的頻譜和波形
sampling (2009-12-03, matlab, 4KB, 下载18次)

http://www.pudn.com/Download/item/id/991929.html

[通讯编程文档] DM

增量調製MATLAB源程序代碼。 增量調製編碼解碼波形仿真結果。
DELTA MODULATION (2009-12-03, matlab, 1KB, 下载72次)

http://www.pudn.com/Download/item/id/991797.html

[通讯编程文档] communication

基本波形的产生 有脉冲响应 及相关的信源产生方法
Produce the basic waveforms and the associated impulse response method for forming the source (2009-11-25, matlab, 9KB, 下载3次)

http://www.pudn.com/Download/item/id/982675.html

[通讯编程文档] chongzi

提取一段音乐信号的波形图、频谱图,以及FFT变换后的频谱
extraction section of the music signal waveform and frequency spectrum and FFT transform the spectrum (2007-01-12, matlab, 3KB, 下载138次)

http://www.pudn.com/Download/item/id/241723.html

[通讯编程文档] m序列发生器

m序列发生器(简单型码序列发生器-----simple shift register generator)
m sequence generator (a simple code sequence generator----- simple shift Regi ster generator) (2006-02-10, matlab, 3KB, 下载628次)

http://www.pudn.com/Download/item/id/144709.html

[通讯编程文档] 宽带波形设计

本文件是基于高斯正弦波行的宽带脉冲波形设计,保证了相对带宽大,衰减满足3db要求
this document is based on the Gaussian line broadband sinusoidal pulse waveform design, ensuring a relatively large bandwidth. attenuation meet the requirements Z (2005-12-30, matlab, 55KB, 下载35次)

http://www.pudn.com/Download/item/id/137013.html
1234
总计:64