联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(1134) 
按平台查找All Verilog(1134) 

[VHDL/FPGA/Verilog] FPGA-Wave-Generator

在Cyclone IV(EP4CE6F17C8)上实现的DDS信号发生器
DDS Signal Generator Implemented on Cyclone IV (EP4CE6F17C8) (2016-12-12, Verilog, 17KB, 下载0次)

http://www.pudn.com/Download/item/id/1481531264657464.html

[VHDL/FPGA/Verilog] DDS_top

使用verilog语言,实现dds信号发生器的源代码
use dds to generate chirp signal (2021-04-19, Verilog, 4206KB, 下载0次)

http://www.pudn.com/Download/item/id/1618795430782459.html

[VHDL/FPGA/Verilog] DDS_SIGN

基于DDS的信号发生器,附带VGA显示功能。基于EP4C10E17芯片。
Based on DDS signal generator, with VGA display function. Based on ep4c10e17 chip. (2021-04-09, Verilog, 3993KB, 下载2次)

http://www.pudn.com/Download/item/id/1617966219265470.html

[VHDL/FPGA/Verilog] DDS

使用Verilog,以Quartus II 为平台,编写了一个DDS信号发生器程序。
Using Verilog and Quartus II as the platform, realizing the DDS signal generator program . (2020-11-26, Verilog, 3082KB, 下载6次)

http://www.pudn.com/Download/item/id/1606381946795294.html

[VHDL/FPGA/Verilog] 基于dds的波形发生器

DDS的基本原理主要由五部分组成,分别是;相位累加器,正弦波形存储器,数模转换器,低通滤波器和时钟,将相位累加器输出的数据作为地址,用来查询表的数据,将取出的正弦数据通过数模转换器输出模拟信号,模拟信号再通过一个低通滤波器输出纯净的正弦波信号。
The basic principle of DDS is mainly composed of five parts: phase accumulator, sinusoidal waveform memory, digital to analog converter, low-pass filter and clock. The output data of phase accumulator is used as address to query the data of table. The extracted sinusoidal data is output analog signal through digital analog converter, and the analog signal is output pure sine through a low-pass filter Wave signal. (2020-09-16, Verilog, 2407KB, 下载2次)

http://www.pudn.com/Download/item/id/1600270470712362.html

[VHDL/FPGA/Verilog] dds_code

DDS信号发生器的实现 本工程实现DDS直接数字式频率合成器,它主要由3部分组成:相位累加器,相位幅度转换,数模转换器(DAC)。相位累加器的高10比特用于ROM的索引地址。
Realization of DDS signal generator (2020-08-24, Verilog, 285KB, 下载1次)

http://www.pudn.com/Download/item/id/1598228745768258.html

[VHDL/FPGA/Verilog] source

基于DDS设计的函数发生器,可以产生正弦波、方波、三角波和锯齿波,其中所有波形的频率和幅度均可变,方波的占空比可以独立调节,并且可以通过串口实现这些改变。
The function generator based on DDS can produce sine wave, square wave, triangle wave and sawtooth wave, in which the frequency and amplitude of all waveforms can be changed, the duty cycle of square wave can be adjusted independently, and these changes can be realized through serial port. (2020-01-10, Verilog, 13KB, 下载4次)

http://www.pudn.com/Download/item/id/1578670311122396.html

[VHDL/FPGA/Verilog] verilog实现dds

基于FPGA实现信号发生器的的功能,较好的参考资料。
The function of signal generator is realized based on FPGA, which is a good reference. (2019-08-21, Verilog, 2534KB, 下载7次)

http://www.pudn.com/Download/item/id/1566351341379700.html

[VHDL/FPGA/Verilog] dds

利用正点院子开拓者fpga实现DDS功能,实现三角波、正弦波、方波的发生。
Implementation of DDS with FPGA (2019-08-21, Verilog, 4555KB, 下载4次)

http://www.pudn.com/Download/item/id/1566351018191907.html

[VHDL/FPGA/Verilog] DDS_NEW

dds信号发生器,可产生正弦信号。锯齿波,梯形波
DDS signal generator can produce sinusoidal signal. Sawtooth wave, trapezoidal wave (2019-03-23, Verilog, 3342KB, 下载7次)

http://www.pudn.com/Download/item/id/1553275028376398.html

[VHDL/FPGA/Verilog] uart

通过串口发送,实现FPGA与stm32的dds发生器
Implementation of DDS generator (2018-11-28, Verilog, 4KB, 下载3次)

http://www.pudn.com/Download/item/id/1543367969498169.html

[VHDL/FPGA/Verilog] FPGAAD9854DDS

FPGA测序和DDS产生各种波形程序,用Atral器件开发
FPGA sequencing and DDS generate various waveform programs. (2018-11-14, Verilog, 6563KB, 下载2次)

http://www.pudn.com/Download/item/id/1542204441448248.html

[VHDL/FPGA/Verilog] fpga信号发生器

基于fpga的信号发生器,使用dds 频率合成生成多种波形
FPGA based signal generator, using DDS frequency synthesis to generate multiple waveforms. (2018-11-14, Verilog, 6241KB, 下载8次)

http://www.pudn.com/Download/item/id/1542125279581506.html

[VHDL/FPGA/Verilog] FPGA正弦信号发生器

基于verilog hdl编写的FPGA正弦信号发生器,已测试。
FPGA sine signal generator (2018-10-07, Verilog, 301KB, 下载6次)

http://www.pudn.com/Download/item/id/1538896374935451.html

[VHDL/FPGA/Verilog] Signal

基于FPGA的DDS相位累加器,连接至存有波形数据的rom后再接至DA可以输出对应的波形
abcdefghijklmnopqrstuvwxyz (2018-05-10, Verilog, 76KB, 下载6次)

http://www.pudn.com/Download/item/id/1525936745952511.html

[VHDL/FPGA/Verilog] DDS -changed

DDS技术实现波形产生代码,可以编译下载学习使用!
DDS generate diagram program (2018-03-04, Verilog, 4870KB, 下载1次)

http://www.pudn.com/Download/item/id/1520133812777962.html

[VHDL/FPGA/Verilog] DDS

用verilog语言,在fpga上实现dds信号发生器,并在vga上显示出来
Verilog realizes DDS Signal Generator (2017-09-07, Verilog, 38377KB, 下载13次)

http://www.pudn.com/Download/item/id/1504791095372596.html

[VHDL/FPGA/Verilog] 四通道DDS信号发生器

四通道DDS信号发生器,很好用的代码,大家一起分享
Four-channel DDS signal generator (2017-08-11, Verilog, 6633KB, 下载11次)

http://www.pudn.com/Download/item/id/1502466287684959.html

[VHDL/FPGA/Verilog] DDS波形发生器

DDS波形生成器verilog语言书写(FPGA型号cy4以上)
DDS generate verilog (2017-07-17, Verilog, 386KB, 下载33次)

http://www.pudn.com/Download/item/id/1500301511707405.html

[VHDL/FPGA/Verilog] dds(1)

基于DDS的信号发生器设计。DDS,FPGA,Verilog。
Design of signal generator based on DDS.DDS,FPGA,Verilog. (2017-07-11, Verilog, 10766KB, 下载2次)

http://www.pudn.com/Download/item/id/1499762198504186.html
总计:1134