联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All 其他(512) 
按平台查找All C/C++(512) 

[其他] 网易云音乐免费播放器

无需VIP也可以所有网易云音乐,也可以听灰色音乐。 (2022-12-29, C/C++, 5436KB, 下载0次)

http://www.pudn.com/Download/item/id/1672295880506804.html

[其他] TrafficMonitor

一个网速监控工具源码,一个大佬写的,我分享过来
A network speed monitoring tool source code, a big man wrote, I share it (2021-03-24, C/C++, 1948KB, 下载1次)

http://www.pudn.com/Download/item/id/1616597072382754.html

[其他] 23501-g02

5G 的核心网总纲协议,23501.核心网架构协议。
The core protocol of core network (2020-10-28, C/C++, 6273KB, 下载1次)

http://www.pudn.com/Download/item/id/1603895353942588.html

[其他] C++

娱乐深刻的和微积分武警部队科比的外表那就开始的能否尽快发北京晚报的几位阿布
yulewsmpwqdjiewuhduiwbduwqkbd (2020-06-30, C/C++, 1345KB, 下载0次)

http://www.pudn.com/Download/item/id/1593514034590405.html

[其他] 国网2013版终端调试程序事件 - 浙江

国网2013版终端调试程序,可以解析,可以模拟主站
State Grid 2013 terminal debugging program (2020-05-19, C/C++, 1738KB, 下载5次)

http://www.pudn.com/Download/item/id/1589853953719378.html

[其他] The standard template library.zh-cn(Part1-4)

it is a lecture of c++ standard.
it is a lecture of c++ standard. (2020-05-05, C/C++, 14000KB, 下载0次)

http://www.pudn.com/Download/item/id/1588657562866230.html

[其他] 串口转以太网模块说明书_佩特科技

串口网络模组资料,有人的模组,里面有详细的资料和调试助手
Serial network module data (2020-03-30, C/C++, 2215KB, 下载1次)

http://www.pudn.com/Download/item/id/1585535692761538.html

[其他] 猎豹网校d3d前八课笔记

猎豹网校d3d笔记前八课,非常详细的笔记,市面上没有
Eight lessons before notes (2020-03-05, C/C++, 14KB, 下载0次)

http://www.pudn.com/Download/item/id/1583367060518297.html

[其他] openair-cn

Code simulate for 5G. This involve EPC which Include MME, HSS and Gateway
Code simulate for 5G. This involve EPC which Include MME, HSS and Gateway (2019-12-31, C/C++, 16870KB, 下载2次)

http://www.pudn.com/Download/item/id/1577782024395151.html

[其他] 网易NCM转换器 - Windows系统版-V1.0.1

网易ncm无损转换mp3,falc格式软件
Netease NCM lossless conversion mp3, FALC format software (2019-06-13, C/C++, 3741KB, 下载0次)

http://www.pudn.com/Download/item/id/1560416245601295.html

[其他] 快速以太网光纤收发器原理图

光端机,光纤通讯,光,快速,以太网,收发,原理图
Optical terminal, optical fiber communication, optical, fast, ethernet, transceiver, schematic diagram (2019-06-11, C/C++, 121KB, 下载11次)

http://www.pudn.com/Download/item/id/1560240865884764.html

[其他] BaumerDemo(六相机)

包萌工业相机的使用,使用SDK,用于工业软件领域
Use of Industrial Camera (2019-06-01, C/C++, 6475KB, 下载7次)

http://www.pudn.com/Download/item/id/1559319060471757.html

[其他] zstack-led

zigbee无线点灯,两个以上ZIgbee射节点。自动组网
ZigBee wireless lightingMore than two ZIgbee firing nodes. Automatic network (2018-09-29, C/C++, 6968KB, 下载4次)

http://www.pudn.com/Download/item/id/1538208716919791.html

[其他] cll_IndirectTraverseNet

导线网间接平差计算、导线网绘制、误差椭圆绘制、放大缩小
Indirect adjustment calculation of Traverse Network, traverse net drawing, error ellipse plotting, zoom in and zoom out. (2018-08-24, C/C++, 15961KB, 下载4次)

http://www.pudn.com/Download/item/id/1535097477495396.html

[其他] t3

网易20180327实习笔试第三题 源码 搜索+剪枝
20180327 Netease t3 20180327 Netease t3 (2018-06-16, C/C++, 375KB, 下载0次)

http://www.pudn.com/Download/item/id/1529156526654069.html

[其他] 电池控制器

电池控制器 光伏并网逆变控制器、光伏离网逆变控制器、风力逆变控制器、氢燃料电池逆变控制器、路灯逆变控制器、应急电源等。
Battery controller (2018-05-18, C/C++, 335KB, 下载18次)

http://www.pudn.com/Download/item/id/1526618727495404.html

[其他] Desktop

我在echarts3.0官网找到两个例子,但是他们引入的都是json地图,然而官网说地图不能下载了 例子1省下钻到市: ECharts Gallery 例子2市下钻到县: ECharts Gallery 本来想着这两个结合起来就可以了,但是里面的son地图已经不能下载,请问在百度地图上怎么处理?或者说我找错了网址,地图其实还能下载?
I found two examples in echarts3.0's official website, but they all introduced JSON maps. However, the official website said the map could not be downloaded. Example 1 provinces go down to the city: ECharts Gallery Example 2 City down to the county: ECharts Gallery Originally thought that the two can be combined, but the son map can not be downloaded. How do I deal with it on Baidu map? Or did I find the wrong address, and the map could still be downloaded? (2018-05-10, C/C++, 1379KB, 下载0次)

http://www.pudn.com/Download/item/id/1525943164318227.html

[其他] tutorials_python

python用户可视化编程示列,从官网上down下来 敬请学习
Wjsafjkasjfriwraqfjketgqow3rfjakgshdig (2017-11-28, C/C++, 313KB, 下载1次)

http://www.pudn.com/Download/item/id/1511859343537108.html

[其他] ET1100 V1.0

实现6路串口转工业以太网 主控为 stm32 与ET1100 通信
Realize the 6 way serial port to industrial Ethernet, the main control is STM32 and ET1100 communication (2017-06-20, C/C++, 91KB, 下载29次)

http://www.pudn.com/Download/item/id/1497917588289584.html

[其他] xlfd-glj-zt

一个娱乐的压缩件 找了很久 是一首主题歌
a compressed pieces of entertainment for a long time is a theme, (2006-06-30, C/C++, 1833KB, 下载2次)

http://www.pudn.com/Download/item/id/199600.html
总计:512