联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按平台查找All VHDL(406) 

[VHDL/FPGA/Verilog] Ethernet-Communication-between-FPGAs

开发了两个FPGA之间的通信接口,该接口通过以太网通道发送数据,并采用arp、...
A communication interface between two FPGAs is developed which sends data through ethernet channel and employs arp, ethernet, ip and udp protocols and has a packet flow control mechanism. The hardware is described in Verilog and VHDL. (2017-12-26, VHDL, 73KB, 下载0次)

http://www.pudn.com/Download/item/id/1514249760842731.html

[VHDL/FPGA/Verilog] FPGA系统设计与验证实战指南_V1.2

FPGA系统设计与验证实战,内含各种常见的FPGA程序设计,AD,RS485,以太网等。
130 sets of resume template FPGA system design and verification, including a variety of common FPGA programming, ad, RS485, Ethernet, etc. (2020-07-18, VHDL, 32330KB, 下载27次)

http://www.pudn.com/Download/item/id/1595059497761286.html

[VHDL/FPGA/Verilog] dave3d_development_kit_altera_1.2.4.4_20130902

tes dst 的D/AVE 3d加速核心 D/AVE 3D是3D图形应用的经济高效的IP核心。该核心可用于FPGA、ASIC和SOC,专门为嵌入式、汽车和信息娱乐市场设计,重点强调硬件和软件的灵活性。
D/AVE 3D is cost-efficient IP core for 3D graphics applications. This core is available for FPGAs, ASICs and SOCs, specifically designed for the embedded, automotive and infotainment market with a big emphasis on flexibility both in hardware and the software. (2019-04-08, VHDL, 36829KB, 下载0次)

http://www.pudn.com/Download/item/id/1554671162981907.html

[VHDL/FPGA/Verilog] rdf0244-zc706-pcie-c-2015-4

利用FPGA开发板的PCIE接口实现数据的传输和发送。
Using the PCIE interface of FPGA development board to realize data transmission and transmission. (2018-08-08, VHDL, 12500KB, 下载13次)

http://www.pudn.com/Download/item/id/1533718575281915.html

[其他] openPOWERLINK-V1.08.5

工业实时以太网1.8.5版本的源码包括doc和硬件工程,c程序,教程
Industrial Real-time Ethernet 1.8.5 version of the source code includes doc and hardware engineering, C program, tutorial (2018-07-12, VHDL, 6362KB, 下载1次)

http://www.pudn.com/Download/item/id/1531385045338104.html

[文章/文档] G.709_光传送网(OTN)的基本应用

otn协议的基本应用,没看过的可以学习了解下。
The basic application of OTN protocol has not been seen and can be learned and understood. (2018-06-16, VHDL, 1108KB, 下载9次)

http://www.pudn.com/Download/item/id/1529146189352741.html

[VHDL/FPGA/Verilog] N-jifenpin

用verilog编写的N倍奇分频源码,大家可以参考一下哈哈哈。希望大神指正
With verilog written N times odd divider source code, you can refer to Ha ha ha. Great God hope corrected (2016-08-15, VHDL, 171KB, 下载3次)

http://www.pudn.com/Download/item/id/1471224877109929.html

[VHDL/FPGA/Verilog] RGMII

用xilinx芯片实现千兆网的实例代码,您可以通过修改此代码完成基于ETMAC IP核的MAC设计,驱动外部PHY芯片或进行MAC to MAC 的直连通信设计。
this is code of etmac IP inst.. it will help you developing for MAC and PHY (2016-04-16, VHDL, 96KB, 下载105次)

http://www.pudn.com/Download/item/id/1460780926482917.html

[VHDL/FPGA/Verilog] DE2_115_CAMERA

cycloneIV开发板完成图像数据采集,色彩空间转换,SDRAM存取数据,VGA控制等
CycloneIV development board to complete the image data acquisition, color space conversion, SDRAM access to data, VGA control etc (2015-02-02, VHDL, 23187KB, 下载13次)

http://www.pudn.com/Download/item/id/1422886529983951.html

[VHDL/FPGA/Verilog] DE2_115_TV

This is an application of FPGA which wrote by Altera. It can be used for interfacing VGA, SDRAM on DE2-115
This is an application of FPGA which wrote by Altera. It can be used for interfacing VGA, SDRAM on DE2-115 (2014-12-19, VHDL, 9898KB, 下载11次)

http://www.pudn.com/Download/item/id/2677098.html

[VHDL/FPGA/Verilog] Tx_state

应用于实时以太网通信,通过高速FIFO实现异步时钟域通信,通过状态机实现FIFO操作,实现与物理层芯片通信。
Used in real-time Ethernet communication, asynchronous clock domain communication speed FIFO FIFO operation state machine, with the physical layer chip communication. (2012-11-21, VHDL, 876KB, 下载8次)

http://www.pudn.com/Download/item/id/2055665.html

[VHDL/FPGA/Verilog] seg7

//奥科单片机网 //www.okmcu.net //CPLD&FPGA实例 //奥科单片机助您成功 //本实验就是学习单个数码管的显示
//Bioko microcontroller network// www.okmcu.net// CPLD & FPGA instance// Bioko microcontroller to help you succeed// this experiment is to study a single digital tube display (2012-10-09, VHDL, 44KB, 下载3次)

http://www.pudn.com/Download/item/id/2010449.html

[系统设计方案] apb_uart

基于APB总线的UART详细设计方案和实现
APB-based detailed design and implementation of UART (2011-07-14, VHDL, 22KB, 下载83次)

http://www.pudn.com/Download/item/id/1596923.html

[VHDL/FPGA/Verilog] caiji01

用xilinx公司的spartan-3e开发板实现一个视频采集的程序,采集进来的数据用LED代表显示出来。
Companies with xilinx spartan-3e development board to implement a video capture program, collecting on behalf of the incoming data with LED display (2011-03-21, VHDL, 3946KB, 下载6次)

http://www.pudn.com/Download/item/id/1462788.html

[VHDL/FPGA/Verilog] sdh_doc

韦乐平老师的全本《光同步数字传输网》,PDF格式,很清晰。
Wei Leping all the teachers, " Synchronous Digital Transmission Network" , PDF format, is very clear. (2010-12-28, VHDL, 16325KB, 下载46次)

http://www.pudn.com/Download/item/id/1395515.html

[VHDL/FPGA/Verilog] S8_SETPMOTO

FPGA实用程序,测试步进电机,开发环境为Quartus II 8.0 (32-Bit),已经测试ok,供大家参考学习
FPGA utility, the test motor, development environment for the Quartus II 8.0 (32-Bit), has been tested ok, for your reference learning (2010-12-08, VHDL, 381KB, 下载35次)

http://www.pudn.com/Download/item/id/1373657.html

[VHDL/FPGA/Verilog] camera_link

对camera_link接口传输过来的信号进行格式转换,将16bit并行转换成串行输出
Right camera_link interface transfer over the signal format conversion will be converted into serial 16bit parallel output (2009-10-15, VHDL, 1687KB, 下载141次)

http://www.pudn.com/Download/item/id/939544.html

[VHDL/FPGA/Verilog] zlg_avalon_rtl8019

周立功公司rtl8091IP核,接avalon总线,可实现以太网通信,有详细的说明
ZLG' s rtl8091IP nuclear, then avalon bus, Ethernet communications can be realized, a detailed description of (2009-10-15, VHDL, 14KB, 下载70次)

http://www.pudn.com/Download/item/id/939532.html

[电子书籍] 2001

)需要下载地图,几年前的游戏,地图服务器已经关停,网上有此游戏的破解 ... k.pconline.com.cn/question/575523.html - 14k - 网页快照 - 类似网页 TXT、JAR和UMD电子书制作、编辑及转换教程-手机-诺基亚-天极网TXT、JAR和UMD电子书制作、编辑及转换教程,手机技巧, 手机, 中国最权威手机资源内容网站, 面向众多手机用户, 手机科技资讯时尚, 集手机最新资讯, 手机娱乐, 手机技巧, ... mobile.yesky.com/mobileskill/389/3040889.shtml - 55k - 网页快照 - 类似网页 有没有在手机上编辑TXT文档的jar软件?_百度知道如题,我以前也安装过几个,可是用JAR编辑的文本文档不能用电脑编辑,在电脑上打开以后全部是方框。在电脑上编辑的文本文档也不能用JAR编辑。。。 我希望有个通用的。 ... zhidao.baidu.com/question/44694697.html - 17k - 网页快照 - 类似网页 aMiniEditor 一个java微型编辑器程序(需为*.jar) Windows Develop ...相关搜索: java 编辑器jar java 编辑器 MiniEditor(记事本) jar jar编辑器 aMiniEditor. 输入关键字,在本站50万海量源码库中尽情搜索:
err (2009-01-13, VHDL, 33KB, 下载5次)

http://www.pudn.com/Download/item/id/630328.html

[网络编程] ax88180_all

开发千兆网应用,这是一个非常好的芯片资料。提供设计参考!
The development of Gigabit Ethernet applications, this is a very good chip information. Provision of design reference! (2008-04-09, VHDL, 377KB, 下载320次)

http://www.pudn.com/Download/item/id/433019.html