联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找Linux/Unix编程(134) 网络(78) 单片机开发(34) 网络编程(32) 嵌入式Linux(32) WEB开发(30) Windows编程(17) 嵌入式/单片机/硬件编程(17) 驱动编程(14) 处理器开发(14) 驱动编程(14) 其他(13) 网络编程(12) 其他书籍(10) IP电话/视频会议(8) 串口编程(8) TCP/IP协议栈(8) 系统设计方案(7) 搜索引擎(6) 通讯编程文档(5) VHDL/FPGA/Verilog(5) android开发(5) 界面编程(4) 通讯编程(4) 技术管理(4) VxWorks(4) 其他嵌入式/单片机内容(4) 电子书籍(3) 系统/网络安全(3) 数值算法/人工智能(3) Java编程(3) 防火墙与安全工具(3) 弱点检测代码(3) 扫描程序(3) USB编程(3) SNMP编程(3) 文章/文档(2) ActiveX/DCOM/ATL(2) 多媒体编程(2) 系统编程(2) 编译器/解释器(2) 压缩解压(2) Web服务器(2) 代理服务器(2) 音频处理(2) 进程与线程(2) 手机短信编程(2) 操作系统开发(2) 教育系统应用(2) DSP编程(2) matlab编程(2) VC书籍(2) 行业发展研究(2) 其他游戏(2) .net编程(2) 3G/4G/5G开发(2) GDI/图象编程(1) 通讯编程(1) 游戏(1) 多媒体(1) 图形图象(1) 多国语言处理(1) 浏览器(1) Ftp客户端(1) Telnet服务器(1) PropertySheet(1) 菜单(1) 文件格式(1) 流媒体/Mpeg4/MP4(1) 远程控制/远程桌面(1) 对话框与窗口(1) xml/soap/webservice(1) Modem编程(1) 文件操作(1) 金融证券系统(1) 企业管理(1) uCOS/RTOS(1) 软件设计/软件工程(1) GIS/地图编程(1) 图片显示(1) 电子政务应用(1) 认证考试资料(1) JavaScript/JQuery(1) MacOS编程(1) OpenCV(1) 
按平台查找All Unix_Linux(619) 

[教育系统应用] zlishool_v6.2.0

智睿院校培训学校系统定位教育网行开发的系统,网站首页 学校概况 校园新闻 德育教学 校园风彩 资源下载 求贤纳士 成绩查询 网上报名 联系我们 会员中心 校园信箱等学校网站常用的栏目,采用当前学校网站的适用性,智睿学校网站管理系统永久使用,终身免费。针增强了对性和易用性,适合创建中、小学校,大中院校,技校使用。
Zhi Rui college training school education positioning system for network development system, home page of website of school moral education situation of Campus News Teaching campus wind color resources download Qiuxian Monash scores query online registration contact us Member Center campus mail school website commonly used columns, the applicability of the current school site, wisdom school web site management system for permanent use, lifelong and free. Strengthens the needle and easy to use, suitable for the creation of middle and primary school, technical schools and colleges, the use of. (2016-04-15, Unix_Linux, 2094KB, 下载1次)

http://www.pudn.com/Download/item/id/1460685095592434.html

[对话框与窗口] vdongdns25

1.代码重新编写,删除很多不用的变量,保证了系统资源。 2.修正了所有界面的图片路径,全部为本地,再没有连接到**洞穴网的图片路径。 3.美化了首页的整体结构与字体设置,增加程序一些正式功能。 4.修正帐号删除程序的不需密码错误。 5.在程序里边增加很多标记,方便用户修改。
1. Code re-write, delete many unused variables to ensure that the system resources. 2. Fixed all interface images path, all local, no longer connected to the network of caves** image path. 3. beautify the overall structure of the home page and font settings, add some formal program functions. 4. The error correction account without a password to delete the program. 5. Many marked increase in the program inside, user modification. (2016-03-07, Unix_Linux, 87KB, 下载1次)

http://www.pudn.com/Download/item/id/1457354413272123.html

[远程控制/远程桌面] PPPoETechnicalWhitePaper

人们想通过相同的接入设备来连接到远程站点上的多个主机,同时接入设备能够提 供与拨号上网类似的访问控制和计费功能。在众多的接入技术中,把多个主机连接 到接入设备的最经济的方法就是以太网,而PPP 协议可以提供良好的访问控制和计 费功能,于是产生了在以太网上传输PPP的方法,即PPPoE。 PPPoE协议的提出解决了用户上网收费等实际应用问题,得到了宽带接入运营商 的认可并广为采用
People want the same access device to connect to multiple hosts at a remote site, while the access device to provide dial-up Internet access control and billing similar functions. Among the many access technologies, multiple host connections to the most economical way to access device is Ethernet, but PPP protocol provides good access control and billing functions, so have the PPP over Ethernet transmission method that PPPoE. PPPoE protocol proposed to solve the practical problems of user access fees, get broadband access operators recognized and widely used (2015-03-23, Unix_Linux, 259KB, 下载1次)

http://www.pudn.com/Download/item/id/1427082261800608.html

[单片机开发] 1234

带数码管显示的交通灯模拟控制系统设计.   硬件方面:8253产生1HZ的方波,脉冲到8259的IR1端,产生中断,调中断服务子程序。在加入紧急车道后,单脉冲()连到8259的IRO端,产生一个高级的中断请求信号。    软件方面:主程序先对各个硬件初始化,在开CPU中断,然后空操作,等待中断。执行低级中断(IR1)时,8255的PA口负责选数码管,PB口负责显示数字,用查表法可查到0~9的数码管编码。 采用循环扫描方法,人眼即可看到连续的二位数。当倒计时减到零时,对红绿灯取反。若手动上下拨动单脉冲()一次,则产生一个高级中断(IR0),程序转而执行此中断处理程序,处理完后返回继续执行低级中断(IR1)。      
Traffic lights analog control system design with digital display (2014-05-12, Unix_Linux, 6KB, 下载3次)

http://www.pudn.com/Download/item/id/2537729.html

[网络编程] btstack

蓝牙协议栈btstack的源码,用于iphone的开发。 在XCODE 4.6 /MAC OS LION 10.7.3 上编译btstack步骤: btstack的官网上的介绍各种不靠谱,按照上面的说法根本无法编译成功。 注意:XCODE要和其他APP放在一起,路径为/Applications/Xcode.app。不可省事放在桌面上。 1、下载btstack svn checkout http://btstack.googlecode.com/svn/trunk/ btstack 2、编译生成iphone上的静态库libBTstack.a和动态库libBTstack.dylib cd btstack ./bootstrap.sh 修改configure.in文件,找到这几行,改成如下这样 [Specify toolchain path])], DEVELOPER_PATH=$withval, DEVELOPER_PATH= /Applications/Xcode.app/Contents/Developer/Platforms/iPhoneOS.platform/Developer ) AC_ARG_WITH(sdk-version, [AS_HELP_STRING([--with-sdk-version=6.1], [Specify SDK version])], SDK_VERS
Btstack Bluetooth protocol stack source code for iphone development. In XCODE 4.6/MAC OS LION 10.7.3 compile btstack step: the official online presentation btstack various tricky, simply can not compile successfully in accordance with the above statement. Note: XCODE and other APP to put together, the path is/Applications/Xcode.app. Can not save on your desktop. 1, download btstack svn checkout http://btstack.googlecode.com/svn/trunk/ btstack 2, compiled on libBTstack.a iphone static library and dynamic library libBTstack.dylib cd btstack./Bootstrap.sh modify configure.in file, find these lines, so read as follows [Specify toolchain path])], DEVELOPER_PATH = $ withval, DEVELOPER_PATH = ' / Applications/Xcode.app/Contents/Developer/Platforms/iPhoneOS.platform/Developer' ) AC_ARG_WITH (sdk-version, [AS_HELP_STRING ([- with-sdk-version = 6.1], [Specify SDK version])], SDK_VERS (2014-03-23, Unix_Linux, 232KB, 下载14次)

http://www.pudn.com/Download/item/id/2491257.html

[Linux/Unix编程] Protocol-conversion-program

本程序是专门针对Moxa的嵌入式计算机(LINUX系统版)写的,采用的是C语言,用于通信协议的转换即将串口设备转换为标准的Modbus TCP/IP协议! 默认的端口为502!本例运行平台是moxa的Uc7408-Lx-8上,上位机是IFIX,驱动为MBE!串口设备是一些电力仪表! 要正确编译本程序,需要在Linux环境下,推荐采用Ubuntu!同时需要在Ubuntu上安装moxa的开发包,这个可以在其官网上下载!你只需要 简单的修改就可以用在实际的工程中!
This program is designed for Moxa' s embedded computers (LINUX system version) written using the C language for communication protocol conversion will convert a standard serial device Modbus TCP/IP protocol! Default port is 502! In this case the operating platform moxa Uc7408-Lx-8, the host computer is IFIX, driven MBE! Serial devices are some of the power meter! To properly compile this program, you need the Linux environment, recommend the use of Ubuntu! Also need to install on Ubuntu moxa development package, this can be downloaded in its official website! You only need a simple modification can be used in the actual project! (2013-11-01, Unix_Linux, 11KB, 下载13次)

http://www.pudn.com/Download/item/id/2388944.html

[技术管理] DHCP-technology-white-paper

DHCP(Dynamic Host Configuration Protocol,动态主机配置协议)是IETF为实现IP的自动配置而设计的协议,它可以为客户机自动分配IP地址、子网掩码以及缺省网关、DNS服务器的IP地址等TCP/IP参数。了解DHCP工作过程可以帮助我们排除有关DHCP服务遇到的问题。DHCP 协议是基于UDP层之上的应用,本文结合抓报所得数据分析DHCP协议实现原理
DHCP (Dynamic Host Configuration Protocol, Dynamic Host Configuration Protocol) is the IETF protocol designed for automatic configuration of IP for the client, it can automatically assign the IP address, subnet mask, and default gateway, DNS server IP addressand other TCP/IP parameters. Understanding of the DHCP the work process can help us to rule out problems encountered about DHCP service. The DHCP protocol is based on the the UDP layer on top of the application, combined with a grasp reported income data analysis DHCP protocol implementation principle (2012-12-07, Unix_Linux, 312KB, 下载4次)

http://www.pudn.com/Download/item/id/2074779.html

[WEB开发] ywt83

Web技术涉及的内容相当广泛,本书涵盖了其中诸多方面,如:HTML标识语言、Java、Applet、CGI、脚本语言、ASP和JSP技术等。本书取材得当、覆盖面广、实例丰富、图文并茂,既可作为计算机专业本、专科学生学习和掌握Web技术的教科书,也可以作为广大 Web技术爱好者学习和应用Web技术的参考书,同时也有一些web开发技术的网站有时间去看看,比如久久技术网。
When I started to learn English in high school,I felt it very difficlut.It is harder than that in junior school,especially the vocabulary,the grammer,and the sentence structure.At that time,I almost losed my confidence.And I didn t want to learn English any more. But I think English plays an important role in the world,so I learn Engliah hard everyday.In the meanwhile,I ask the teachers and classmates to how to improve my English better. Finally,I have made a big progress in English with my interest going up increasingly. However,I think ,to attain my goal ,there s still a long way to go. (2012-08-03, Unix_Linux, 9063KB, 下载4次)

http://www.pudn.com/Download/item/id/1956509.html

[其他书籍] GBT-25645-2010

《GBT 25645-2010 信息技术 中文Linux服务器操作系统技术要求》规定了基于Linux的操作系统在作为服务器运行环境时的一般要求,包括系统核心、运行库、使用工具以及作为服务器系统所应具有的可扩展性、可用性、适用性、可管理性、安全性以及性能要求等。适用于所有作为服务器运行环境的基于Linux的操作系统。 文档参考:搜标准网 www.biaozhunw.com 发布部门-中华人民共和国国家质量监督检验检疫总局,中国国家标准化管理委员会。 发布日期-2010-12-01;实施日期-2011-04-01。 目录 前言 Ⅲ 1 范围 1 2 术语和定义 1 3 缩略语 2 4 要求 2 4.1 文件系统 2 4.2 系统安装与软件维护 3 4.3 可用性 3 4.4 适用性 4 4.5 性能和可伸缩性 4 4.6 可管理性 4 4.7 基本安全特性 5
Information technology—Technical requirement for Chinese Linux server operating system (2012-05-09, Unix_Linux, 184KB, 下载2次)

http://www.pudn.com/Download/item/id/1862813.html

[网络] linux-web

? 描述网络和网络互联,解释它们的作用 ? 简要讨论TCP/IP协议栈,IP地址,协议端口,因特网服务和应用 ? 解释什么是客户/服务器软件模型,它如何工作 ? 讨论各种用于电子通信,远程登录,文件传输,远程命令执行,以及状态报告的网络软件工具 ? 简要描述安全shell ? 覆盖如下命令和原语:finger, ftp, ifconfig, nslookup, ping, rcp, rlogin, rsh, ruptime, rusers, rwho, talk, telnet, traceroute
? describe networks and network interconnection, to explain their role ? brief discussion of TCP/IP protocol stack, IP address, protocol port, Internet services and applications ? explain what is a client/server software model, discuss how it works for ? e communications, remote login, file transfer, remote command execution, and status reporting network security software tools shell ? ? brief description of the coverage the following commands and primitives: finger, ftp, ifconfig, nslookup, ping, rcp, rlogin, rsh, ruptime, rusers, rwho, talk, telnet, traceroute (2011-07-30, Unix_Linux, 637KB, 下载25次)

http://www.pudn.com/Download/item/id/1610252.html

[浏览器] 43534634

新版火狐后台II强势推出,全新界面全新功能,欢迎试用及提供改进意见 1、淘宝新版登录界面,支持支付宝帐号登录 2、支持新版淘宝商品页,可完全自定义商品描述 3、支付接口更丰富,网银付款界面可自动刷新 4、加入钓取资料号功能,绝对最完美 5、第三方钓取卡密功能全面完成 6、Bug及时反馈,修改意见第一时间收到
Background II launched a strong new version of Firefox, the new interface, new features, improvements welcome to try and provide an Taobao new login screen, support Alipay account login 2, support new commodities Taobao page, you can fully customize the product description 3, pay interface, richer, online banking payment screen to automatically refresh 4, No. added features fishing access information, the absolute most perfect 5, third full-featured fishing access cards close to complete 6, Bug timely feedback, revisions received the first time (2011-05-16, Unix_Linux, 1840KB, 下载4次)

http://www.pudn.com/Download/item/id/1533722.html

[VHDL/FPGA/Verilog] base-on-FPGA-embeded-system-design

摘 要: 可编程片上系统设计是一个崭新的、富有生机的嵌入式系统设计技术研究方向。本文在阐述可编程逻辑器件特点及其发展趋势的基础上,探讨了智力产权复用理念、基于嵌入式处理器内核和xilinx FPGA的SOPC软硬件设计技术,引入了基于英特网可重构逻辑概念并提出了设计实现方法,为基于FPGA的嵌入式系统设计提供了广阔的思路。
Abstract: Programmable System on Chip design is a new and vibrant direction of embedded system design technology. This paper describes the development of programmable logic device characteristics and trends, based on the reuse of intellectual property rights concept, based on xilinx FPGA embedded processor core and the SOPC hardware and software design techniques, the introduction of Internet-based reconfigurable logic Design concept and implementation method is proposed for FPGA-based embedded system design provides a broad way of thinking. (2011-04-14, Unix_Linux, 65KB, 下载7次)

http://www.pudn.com/Download/item/id/1491490.html

[处理器开发] amcc460ex_develop

关键词: PowerPC,嵌入式系统, Linux, DDR2, PCI-Express, GbE,千兆以太网, 摘要: AMCC公司的低成本PowerPC 460EX评估套件适用于高性能的PowerPC 460EX和 460GT处理器,它采用Linux操作系统,为用户评估处理器和软件开发提供了容易使用的平台. 本文介绍了 PowerPC 460EX 的主要特性和方框图,以及 PowerPC 460EX 评估套件的主要 特性和亮点,方框图以及详细的电路图.
Keywords: PowerPC, embedded systems, Linux, DDR2, PCI-Express, GbE, Gigabit Ethernet, Abstract: AMCC PowerPC 460EX company' s low-cost evaluation kit for high-performance PowerPC 460EX and 460GT processors, it uses Linux operating system for users to evaluate the processor and software development platform provides easy to use. This article describes the main features of PowerPC 460EX and block diagram, and the PowerPC 460EX evaluation kit, the main features and highlights, and detailed schematic block diagram. (2011-04-14, Unix_Linux, 1303KB, 下载9次)

http://www.pudn.com/Download/item/id/1491479.html

[网络] udp_brodcast_send

如果没有设置BLOADCASE选项的不递送。 如果bind端口不匹配不递送该套接口 如果绑定的不是INADDR_ANY话,那么BIND的地址和目的地址匹配才能递送:也就是说你必须BIND一个广播地址或者绑定INADDR_ANY 用ifconfig命令可以disable块网卡的BROADCAST标志,让其不能接受以太网广播。 这是接受装置
If the option is not set BLOADCASE not delivered. If the port does not match does not bind the socket if delivery is not bound to INADDR_ANY, then the BIND destination address matches the address and can be delivered: that you have a broadcast address or BIND bind INADDR_ANY block with the ifconfig command to disable the network card BROADCAST flag, let it not accept the Ethernet broadcast. This is the receiving device (2010-12-24, Unix_Linux, 1KB, 下载4次)

http://www.pudn.com/Download/item/id/1391648.html

[认证考试资料] CISCOCATALYST

本书介绍Cisco 公司的Catalyst系列交换产品,其中包括交换技术的基础知识和高级功能。主要内容有:以太网和令牌环交换,VLAN和ISL及IEEE 802.1Q中继,动态和静态VLAN配置,多层交换,ATMLAN仿真,以及所有与CLSC笔试所需的信息。本书不失为一本网络有关人员的有价值的参考书。
The book introduced the Cisco' s Catalyst family of switching products, including the basis for the exchange of technical knowledge and advanced features. The main contents are: the exchange of Ethernet and Token Ring, VLAN and ISL and IEEE 802.1Q trunking, dynamic and static VLAN configuration, multilayer switching, ATMLAN simulation, as well as all the necessary information written CLSC. This book can be a valuable network of the reference staff. (2009-06-15, Unix_Linux, 12979KB, 下载5次)

http://www.pudn.com/Download/item/id/807418.html

[嵌入式/单片机/硬件编程] HHCF5249-R3_tech_manual-v1.5

华恒科技 HHCF5249-R3 技术手册。华恒HHCF5249-R3 套件是一套完整的基于摩托罗拉MCF5249 处理器 的嵌入式开发平台。华恒HHCF5249-R3 套件由核心板(HHCF5249-K3) 和底板(外设板或称基本板)组成,HHCF5249-K3 核心板上集成摩托罗 拉 MCF5249 处理器,8M SDRAM、4M 的FLASH 以及DM9000 100M 以 太网控制器,为用户的软件研发提供了足够的空间。
HHCF5249-R3 Technology华恒technical manual.华恒HHCF5249-R3 is a complete suite of Motorola MCF5249 processor based embedded development platform.华恒HHCF5249-R3 from the core plate package (HHCF5249-K3) and bottom (peripheral board, or the basic board) component, HHCF5249-K3 Motorola MCF5249 core integrated on-board processor, 8M SDRAM, 4M of FLASH as well as the DM9000 100M Ethernet controller for the user' s software R & D to provide sufficient space. (2009-06-11, Unix_Linux, 769KB, 下载23次)

http://www.pudn.com/Download/item/id/803162.html

[Linux/Unix编程] ADSL_NET

家里的台式机,装有windows 2000 professional一直做server,本本使用linux做client,后来觉得本本上运行linux太慢,而且也不能在上面随随便便做实验,所以想要把台式机也装上linux,这样一来自然就涉及到了linux下adsl上网和组网的问题,经过几天的实验,总算是成功,因此把整个过程写下来,一来备案,而来希望能对网友们有点帮助。
home desktop machine, with windows 2000 server has been doing professional, books do use linux client, but then I thought that books on running linux too slow. but also not above casual experimentation, want to bring desktop Linux is installed, Such a naturally relate to the linux under adsl internet and the network, after several days of experiments, It was successful, we will write down the whole process, to a record, and had hopes of netizens a little help. (2007-05-08, Unix_Linux, 8KB, 下载60次)

http://www.pudn.com/Download/item/id/278005.html

[Linux/Unix编程] LINUX-application

本书籍由十部分组成:LINUX新手基础技能、LINUX系统管理及桌面应用精华、Linux Shell教程、红联论坛更新网、LINUX培训信息、LINUX价值新闻、Redhat教程、LINUX安装相关、LINUX学习指导、Wine使用者指南,300多编精彩实用的Linux技术文章。制作此书籍的目的是给大家一个离线学习平台
books by the 10 components : Linux novice basic skills, LINUX systems management and desktop applications essence, Linux Shell Guide, Hung Joint Forum updated network LINUX training information, the value of Linux news, Redhat and guidance related to the installation of Linux, LINUX study guide, Wine user guides, more than 300 brilliant series of practical Linux technical articles. Making the purpose of this book is to give you an off-line learning platform (2006-10-18, Unix_Linux, 1846KB, 下载74次)

http://www.pudn.com/Download/item/id/219553.html

[处理器开发] MPC8270-EXT-v10

CPU MPC8270 SDRAM K4S561632 x4(128Mbyte) BOOT FLASH AM29LV640(8MByte) Nand FLASH 兼容三星的K9F系列的FLASH CPU JTAG口 核心板集成 串口 3个 SMC1、SMC2、SCC1 (SMC1扩展板和核心板都有输出接口) 以太网口 3个 FCC1、FCC2、FCC3 可编程发光二极管 6个 可编程发光数码二极管 1个 系统外部中断输入 4个 外部系统复位输入 1个 外部实时时钟 系统掉电,时钟不丢失 核心板电源 单一5V电源 开发系统电源 外部5V/3A输入或者ATX电源 (注意:这两个电源不能同时插入使用) BOOTLOADER U-BOOT 操作系统 Linux 2.4.18 开发工具 全套的设计开发工具,包括开发主机的操作系统安装盘 驱动软件 提供所有接口的驱动程序源代码 核心板尺寸 123mm X 86mm 扩展板尺寸 178mm X 134mm
MPC8270 CPU SDRAM K4S561632 x4 (128Mbyte) FLASH AM29LV640 BOOT (8MByte) Nand Flash compatible Samsung K9F3208W0A series of JTAG FLASH mouth core CPU board integrated Serial three SMC1, SMC2. SCC1 (SMC1 expansion boards and core boards have output Interface) Ethernet port three FCC1, FCC2. FCC3 programmable LED six programmable digital luminescent diode an external interrupt input system four external systems reset input an external real-time clock system power loss. clock core plate not lost a single 5V power supply system development 5V/3A external power input or ATX power supply (Note Italy : these two power use can not be inserted) BOOTLOADER U-BOOT Linux 2 .4.18 complete set of development tools to develop design tools, including the development of the mainframe operating system softwa (2006-05-23, Unix_Linux, 475KB, 下载529次)

http://www.pudn.com/Download/item/id/187142.html

[防火墙与安全工具] afv0.5.5-p1

运用安全套接字协议实现安全隧道。这是专为那些不具有外部IP地址却仍想实现互联网服务的人设计的。服务器被置于一台拥有公网地址的计算机上。客户端运行在防火墙/网关后的某台计算机上。这使得客户端可以访问互联网。运行服务器端进程无需超级用户特权。也无须使用任何其他进程或线程。
use Secure Socket security agreement tunnel. This is designed for those who do not have external IP addresses still wants to achieve Internet services in the design. Servers are placed with a public address on a computer. Client runs on firewall/gateway of a computer. This enables the client to access the Internet. Process server running without superuser privileges. No need to use any other processes or threads. (2005-03-07, Unix_Linux, 40KB, 下载532次)

http://www.pudn.com/Download/item/id/1110175551233976.html