联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找其他(6793) 单片机开发(3360) collect(1918) 人工智能/神经网络/深度学习(1693) VHDL/FPGA/Verilog(1299) 硬件设计(1062) 模式识别(视觉/语音等)(1003) 内容生成(925) 嵌入式/单片机/硬件编程(882) 处理器开发(820) 数值算法/人工智能(665) 系统/网络安全(592) 自然语言处理(550) 数学计算(512) DSP编程(501) 快速开发平台(499) WEB开发(476) 游戏(474) Windows编程(464) 网络编程(439) matlab编程(399) 物理/力学计算(398) 编辑器/阅读器(396) 图形图像处理(354) 调试/Bug(351) 自动驾驶(344) 代码编辑器(334) 通讯编程(299) 生物医药技术(276) 雷达系统(276) Linux/Unix编程(268) 虚拟化(259) android开发(257) 其他书籍(248) 音频处理(241) 数据库系统(240) 前端开发(240) 加密货币(229) 网络编程(225) 编译器/解释器(222) 自动编程(222) GIS/地图编程(215) 图形图象(208) 文章/文档(207) 大数据(205) 浏览器(196) 其他嵌入式/单片机内容(191) 聊天室(190) 系统编程(177) 聚类算法(175) Web服务器(173) 交通/航空行业(168) 微服务(166) 加密解密(164) DevOps(162) 机器人/智能制造(157) 视频捕捉采集剪辑(146) FaaS/Serverless(145) 系统设计方案(141) 操作系统开发(140) 金融证券系统(140) 编程语言基础(140) Docker(136) 特征抽取(136) 代理服务器(133) Telnet服务器(130) 其他小程序(128) C#编程(128) 工具库(127) 云计算(126) 汇编语言(125) 数据挖掘/数据仓库(118) 能源行业(电力石油煤炭)(116) 物联网(115) 网络截获/分析(113) 仿真建模(111) GPT/ChatGPT(110) 软件设计/软件工程(108) Modem编程(107) Java编程(105) 进程与线程(104) 远程控制/远程桌面(101) 磁盘编程(98) 云数据库/云存储(98) 开发工具(98) LabView编程(97) 流媒体/Mpeg4/MP4(95) 3G/4G/5G开发(95) C/C++基础(94) Ftp服务器(93) 压缩解压(90) 多国语言处理(89) GPU/显卡(88) 后台框架(88) 界面编程(87) Python编程(87) Email服务器(85) 嵌入式Linux(84) 云原生工具(84) 对话框与窗口(83) 
按平台查找All Others(44043) 

[其他] CriticalDimension26

弦论学期专题:洛伦兹发生器换向器的完全推导。
Semester Project in String Theory: Complete derivation of the commutator of Lorentz generators. (2024-07-15, Others, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1721149685584573.html

[内容生成] voice-to-image-generator-using-ao

基于ao的语音图像发生器
voice to image generator using ao (2024-05-03, Others, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1714962526502891.html

[] ewms-message-queue-management-service

EWMS的消息队列管理服务(MQMS):DDS的消息队列代理的外部接口
EWMS s Message Queue Management Service (MQMS): The external interface to the DDS s Message Queue Broker (2024-04-08, Others, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1712619773730652.html

[硬件设计] Scope-and-Sine-Generator-PCB-Design

范围和正弦发生器PCB设计
Scope and Sine Generator PCB Design (2024-02-28, Others, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1709094872527944.html

[物理/力学计算] Amplitude-Modulation

...例如调制器、包络检测器、半导体、减法器、放大器、正弦波发生器等。我们...
My lab partner and I collaborated to design and build an amplitude modulator and an envelope detector with multiplexing capabilities. The large scale project covers information emission and analysis, complex subjects in physics and electrical engineering such as modulator, envelope detector, semiconductors, subtractor, amplifiers, sine wave (2023-05-11, Others, 68867KB, 下载0次)

http://www.pudn.com/Download/item/id/1686738758628805.html

[其他] 信号生成系统

波形控件应用实例,实现波形控件的设置及图表显示。
Cluster waveform control application examples, to achieve the waveform control settings and chart display. (2017-09-06, Others, 8KB, 下载1次)

http://www.pudn.com/Download/item/id/1504676592932496.html

[数学计算] mai_ag58

进行波形数据分析,未来线路预测,分析误差,相关分析过程的matlab方法。
Waveform data analysis, Future line prediction, error analysis, Correlation analysis process matlab method. (2017-05-07, Others, 6KB, 下载2次)

http://www.pudn.com/Download/item/id/1494151881241750.html

[单片机开发] xh

基于MSP430G2553的信号发生器的代码 原理图PCB
Based MSP430G2553 code signal generator schematics PCB (2016-05-25, Others, 2890KB, 下载4次)

http://www.pudn.com/Download/item/id/1464116391661627.html

[嵌入式/单片机/硬件编程] soundl

编写程序控制音乐发生器和LED工作使他们组成声光报警装置,自己设置按键来控制音乐发生器启停。
Write a program to control the music generator and LED work to make them audible alarm device composition, set up their own start and stop buttons to control music generator. (2014-12-13, Others, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/2673364.html

[VHDL/FPGA/Verilog] taximoneycounter

用VHDL语言实现出租车计价器,包括程序及仿真波形
With VHDL taximeter, including procedures and simulation waveforms (2013-06-29, Others, 226KB, 下载1次)

http://www.pudn.com/Download/item/id/2291693.html

[C#编程] 201005181057335937

基于C#的波形显示控件的实现源码,的波形显示控件的实现源码。
Wave form (2011-05-07, Others, 414KB, 下载66次)

http://www.pudn.com/Download/item/id/1521683.html

[单片机开发] TLC5615

TLC5615 10位精度 可以用来信号发生器
TLC5615 10 precision can be used to signal generator (2011-04-15, Others, 1KB, 下载4次)

http://www.pudn.com/Download/item/id/1492676.html

[VHDL/FPGA/Verilog] FPGAPLLdesign

基于FPGA和PLL的函数信号发生器时钟部分的实现
FPGA+PLLdesign and practice (2009-03-12, Others, 4KB, 下载7次)

http://www.pudn.com/Download/item/id/669796.html

[单片机开发] danpianji

用单片机实现彩条信号发生器 ,实现红绿蓝场信号 , 并有动画 、渐变、 循环等效果 。这是我毕业设计成果,忍痛割爱 同大家一起分享 用MEDWIN开发的
With MCU color signal generator, to achieve red-green-blue field signal, and animation, transitions, effects circulation. This is my graduation project results, and in pain afford to spare to share with everyone using MEDWIN development (2007-11-10, Others, 2045KB, 下载101次)

http://www.pudn.com/Download/item/id/358282.html

[嵌入式/单片机/硬件编程] DDSxingnenfenxi

介绍了直接数字频率合成器DDS(Direct Digital Synthesizer)的组成、工作原理及性能
introduced a direct digital frequency synthesis DDS (Direct Digital Synthes izer) the composition, theory and performance (2007-06-20, Others, 55KB, 下载123次)

http://www.pudn.com/Download/item/id/298271.html

[VHDL/FPGA/Verilog] EXPT12_10_PHAS

数字移相信号发生器设计,采用quartus2平台
digital phase shifting generator design platform using quartus2 (2007-03-21, Others, 1251KB, 下载74次)

http://www.pudn.com/Download/item/id/258103.html

[VHDL/FPGA/Verilog] PCB(Cadence)

* DESCRIPTION: DDS design BY PLD DEVICES. * * AUTHOR: Sun Yu * * HISTORY: 12/06/2002 *
* DESCRIPTION : DDS BY PLD design Online.** AUTHOR : Sun Yu** HISTORY : 12/06/2002* (2007-01-15, Others, 457KB, 下载154次)

http://www.pudn.com/Download/item/id/242439.html

[嵌入式/单片机/硬件编程] cpldfsk1

基于CPLD的多功能信号发生器设计.PDF
CPLD-based signal generator multifunctional design. PDF (2006-10-20, Others, 124KB, 下载23次)

http://www.pudn.com/Download/item/id/220006.html

[其他] desigingCRCwithVDHL

用VHDL设计CRC发生器和校验器,供初学者参考。
CRC generator and calibration device for advanced users. (2006-08-28, Others, 110KB, 下载30次)

http://www.pudn.com/Download/item/id/211173.html

[其他] Single_Pulse

单个脉冲发生器的multisim9仿真文件
single pulse generator simulation document multisim9 (2006-05-09, Others, 276KB, 下载25次)

http://www.pudn.com/Download/item/id/181941.html
总计:44043