联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(90) 
按平台查找All WORD(90) 

[VHDL/FPGA/Verilog] Power-interface-circuit

功率驱动电路的原理.直流电机驱动接口电路 利用编写的程序控制FPGA产生PWM波形
Principles power driver circuit. DC motor driver interface circuit uses a program written to generate the PWM waveform control FPGA (2014-04-08, WORD, 227KB, 下载6次)

http://www.pudn.com/Download/item/id/2504905.html

[VHDL/FPGA/Verilog] The-absolute-type-encoder

讲解了绝对式编码器和增量式编码器,重点介绍了绝对式编码器的接口等,具有很好的借鉴作用
Explained the absolute encoder and incremental encoder, focus on absolute type encoder interface and so on, has the very good reference (2014-03-06, WORD, 421KB, 下载24次)

http://www.pudn.com/Download/item/id/2476481.html

[VHDL/FPGA/Verilog] CPU

组成原理课设,简单CPU微处理器指令系统设计。
CPU design (2014-01-16, WORD, 299KB, 下载6次)

http://www.pudn.com/Download/item/id/2451917.html

[VHDL/FPGA/Verilog] Octal-Responder

八路抢答器,主要是实现多路抢答,是基于74L系列译码器的设计。
The Octal Answer, answer multi-channel decoder design based on 74L Series. (2013-05-16, WORD, 138KB, 下载4次)

http://www.pudn.com/Download/item/id/2245136.html

[VHDL/FPGA/Verilog] Verilog

一种面向并行Verilog模拟的代码分割器,好东西
Oriented parallel Verilog simulation code split, good thing (2013-01-10, WORD, 411KB, 下载5次)

http://www.pudn.com/Download/item/id/2112966.html

[VHDL/FPGA/Verilog] Three-of-the-voting-machine.doc

三人表决器,是三个人进行投票表决。投票结果是为三人投的多数
Three of the voting machine (2012-10-21, WORD, 26KB, 下载5次)

http://www.pudn.com/Download/item/id/2022564.html

[VHDL/FPGA/Verilog] songer

用verilog VHDL书写的可以播放一段梁祝音乐的音乐器
Verilog the VHDL written music can play a Butterfly music device (2012-04-30, WORD, 137KB, 下载10次)

http://www.pudn.com/Download/item/id/1850425.html

[VHDL/FPGA/Verilog] verilog-program

国外经典verilog程序集锦,含有从最简单的定时器创建到复杂逻辑的实现。
Classic Collection verilog program abroad, with the timer created from the most simple to complex logic. (2011-06-16, WORD, 31KB, 下载41次)

http://www.pudn.com/Download/item/id/1571133.html

[VHDL/FPGA/Verilog] lab

系统结构实验报告,WinDLX模拟器是一个图形化、交互式的DLX流水线模拟器,能够演示DLX流水线是如何工作的。该模拟器可以装载DLX汇编语言程序(后缀为“.s”的文件),然后单步、设断点或是连续执行该程序。CPU的寄存器、流水线、I/O和存储器都可以用图形表示出来,以形象生动的方式描述DLX流水线的工作过程。模拟器还提供了对流水线操作的统计功能,便于对流水线进行性能分析。
Computer Systems Architecture Lab (2010-09-15, WORD, 119KB, 下载50次)

http://www.pudn.com/Download/item/id/1296989.html

[VHDL/FPGA/Verilog] EDA_Design_Repor_for_FIR_Filter

基于Quartus II的17阶FIR滤波器设计报告,详细介绍了从FIR滤波器原理到设计实现的全过程,适合学习。
Quartus II-based 17-order FIR filter design report, detailed from the realization of FIR filter theory to design the whole process, suitable for learning. (2010-03-17, WORD, 185KB, 下载13次)

http://www.pudn.com/Download/item/id/1089737.html

[VHDL/FPGA/Verilog] verilog

Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。   Verilog HDL 语言具有下述描述能力:设计的行为特性、设计的数据流特性、设计的结构组成以及包含响应监控和设计验证方面的时延和波形产生机制。所有这些都使用同一种建模语言。此外,Verilog HDL语言提供了编程语言接口,通过该接口可以在模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。
Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C编程语言中继承了多种操作符和结构。Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,Verilog HDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语 (2009-08-28, WORD, 31354KB, 下载2次)

http://www.pudn.com/Download/item/id/893016.html

[VHDL/FPGA/Verilog] ImplementationofTriggerCircuitinDigital

论文,数字存储示波器中触发电路的FPGA设计与实现,转载
ImplementationofTriggerCircuitinDigital (2009-07-11, WORD, 297KB, 下载33次)

http://www.pudn.com/Download/item/id/840213.html

[VHDL/FPGA/Verilog] FIR_Filter_Base_on_FPGA

详尽的讲述了FIR滤波器在FPGA上的实现思路
Detailed story of the FIR filter in FPGA realization of ideas (2009-06-22, WORD, 350KB, 下载24次)

http://www.pudn.com/Download/item/id/816910.html

[VHDL/FPGA/Verilog] veriloghdl

多路选择器(MUX)verilog hdl 多路选择器(MUX)verilog hdl
MUX (MUX) verilog hdl multiplexer (MUX) verilog hdl (2009-05-19, WORD, 3KB, 下载11次)

http://www.pudn.com/Download/item/id/767710.html

[VHDL/FPGA/Verilog] VHDL3-8

用VHDL设计的3-8译码器,精简~!
design using VHDL 3-8 decoder, streamlining ~! (2007-04-29, WORD, 2KB, 下载5次)

http://www.pudn.com/Download/item/id/275253.html

[VHDL/FPGA/Verilog] 4bitadd

4位全加器原码,包括仿真码和4位计数器码。
four full adder original code, including the simulation code and four counter code. (2007-04-14, WORD, 3KB, 下载12次)

http://www.pudn.com/Download/item/id/268027.html

[VHDL/FPGA/Verilog] FPGAprogram3

波特率发生器的设计,这里是实现上述功能的VHDL源程序,供大家学习和讨论。
baud rate generator design, here is the realization of the above-mentioned functional VHDL source code for all learning and discussion. (2006-04-11, WORD, 3KB, 下载15次)

http://www.pudn.com/Download/item/id/169396.html

[VHDL/FPGA/Verilog] FPGAprogram2

半整数分频器电路的VHDL源程序,供大家学习和讨论。
half-integer frequency divider circuit VHDL source code for all learning and discussion. (2006-04-11, WORD, 3KB, 下载25次)

http://www.pudn.com/Download/item/id/169382.html

[VHDL/FPGA/Verilog] fpga-example2

ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真
ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process and VHDL simulation baseband code generator program design and simulation Cymometer program design and simulation (2006-03-28, WORD, 604KB, 下载434次)

http://www.pudn.com/Download/item/id/162048.html

[VHDL/FPGA/Verilog] 出租车计价器VHDL程序与仿真

出租车计价器VHDL程序与仿真,vhdl源码,对设计这方面的同志们具有很好的参考价值
Taximeter procedures and VHDL simulation, VHDL source code, to this regard, the design of the comrades who have a good reference value! ! (2006-01-07, WORD, 84KB, 下载126次)

http://www.pudn.com/Download/item/id/139292.html
总计:90