联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(1308) 
按平台查找All VHDL(1308) 

[VHDL/FPGA/Verilog] firfilterPmatlabPfpga

FIR滤波器的matlab仿真,使用子函数编程(非fdatool)并产生ISE中FIR核的.coe文件
FIR matlab coe文件 (2017-04-25, VHDL, 120KB, 下载7次)

http://www.pudn.com/Download/item/id/1493125513741230.html

[VHDL/FPGA/Verilog] Arbiter-VHDL-based-design

1、熟悉VHDL的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。
Arbiter VHDL-based design (2016-06-01, VHDL, 94KB, 下载2次)

http://www.pudn.com/Download/item/id/1464770518610514.html

[VHDL/FPGA/Verilog] rom

利用可编程逻辑器件设计一个ROM,生成rom的代码,制定ROM模块。
Use a ROM programmable logic device design, code generation rom formulated ROM module. (2016-04-08, VHDL, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/1460121731296467.html

[VHDL/FPGA/Verilog] 2_MUX_4_1_vt

verilog HDL 编程 二选一多路选择器 带仿真文件
this is a verilog module.it have the fanction of selet the signal form two signals. (2015-11-08, VHDL, 169KB, 下载1次)

http://www.pudn.com/Download/item/id/1446940336234882.html

[VHDL/FPGA/Verilog] zhongzhilvbo

实现中值滤波的Verilog编程,并且还有matlab仿真验证
Verilog programming to achieve median filtering, and there matlab simulation (2014-05-21, VHDL, 9383KB, 下载11次)

http://www.pudn.com/Download/item/id/2546987.html

[VHDL/FPGA/Verilog] interface-for-CY7C68013-FPGA

CY68013与FPGA的接口 及其编程 这个文档还是有些参考价值的
CY68013 and FPGA interface and programming this document or some reference value (2013-12-15, VHDL, 180KB, 下载5次)

http://www.pudn.com/Download/item/id/2426831.html

[VHDL/FPGA/Verilog] cpld_read_adc

在可编程逻辑器件cpld里读8通道模数转换器adc的值
themodule read the value of 8 channels ADC in cpld (2013-11-05, VHDL, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/2392196.html

[VHDL/FPGA/Verilog] mux4booth

fpga 使用verilog hdl 语言,quartusii 9.0编程环境,使用2booth算法设计的4bit乘法器。可以扩展为16bit乘法器。
fpga verilog hdl ,quartusii 9.0 ,2booth 4bit (2013-08-27, VHDL, 702KB, 下载4次)

http://www.pudn.com/Download/item/id/2340529.html

[VHDL/FPGA/Verilog] Verilog

Verilog经典教程,很好的学习Verilog的书籍,对学习硬件编程很有帮助。
Verilog classic handbook, good learning Verilog books, to learn hardware programming helpful. (2013-08-19, VHDL, 1311KB, 下载38次)

http://www.pudn.com/Download/item/id/2333594.html

[VHDL/FPGA/Verilog] caideng

应用VHDL语言编程,实验彩灯控制.本程序经EC3C10E144C8验证无误,请放心使用
Application of VHDL language programming, experimental lantern control procedures by EC3C10E144C8 verify the correct, ease of use (2013-07-30, VHDL, 1873KB, 下载3次)

http://www.pudn.com/Download/item/id/2317457.html

[VHDL/FPGA/Verilog] AGI-BAN1_V10

闪光灯的简单实例,通过对硬件语言verilog的简单编程完成操作
Flash simple example, a simple programming language verilog hardware to complete the operation (2013-05-21, VHDL, 27KB, 下载2次)

http://www.pudn.com/Download/item/id/2251838.html

[VHDL/FPGA/Verilog] fib

一个基于VHDL编程的可用于FPGA实现的斐波那契数列计算器
implemented a circuit in VHDL that calculates Fibonacci numbers (2013-04-22, VHDL, 6KB, 下载26次)

http://www.pudn.com/Download/item/id/2210554.html

[VHDL/FPGA/Verilog] Min-systembased-on-EP1C3T144

相当实用的内容,适合刚开始进行VHDL编程的初学者进行联系的资源
Very useful content, the beginning of the VHDL programming for beginners resources contact (2011-10-31, VHDL, 6KB, 下载11次)

http://www.pudn.com/Download/item/id/1684862.html

[VHDL/FPGA/Verilog] send

采用vhdl语言编程,实现异步串行通信的发送自己定义的通信协议格式
Using vhdl language programming, asynchronous serial communication to send their own communication protocol format definition (2011-08-29, VHDL, 1KB, 下载6次)

http://www.pudn.com/Download/item/id/1634078.html

[VHDL/FPGA/Verilog] caideng-xulie

数字电路与逻辑设计实验编程,有彩灯实验和序列排序实验。
Digital circuits and logic programming design experiments, and experiments with lights experiments sort sequence. (2011-07-07, VHDL, 333KB, 下载5次)

http://www.pudn.com/Download/item/id/1591351.html

[VHDL/FPGA/Verilog] traffic-light-PDF

交通灯东南西北四个方向的红,黄,绿三色灯的控制方案VHDL编程
Four corners of traffic lights red, yellow, and green lights VHDL programming control program (2011-05-20, VHDL, 1036KB, 下载4次)

http://www.pudn.com/Download/item/id/1540003.html

[VHDL/FPGA/Verilog] Asynchronous_Resets_FILO

外国编程高手关于异步fifo和复位电路的精度论述。
Master a foreign programming asynchronous fifo and the reset circuit on the accuracy of exposition. (2010-03-02, VHDL, 618KB, 下载33次)

http://www.pudn.com/Download/item/id/1073563.html

[VHDL/FPGA/Verilog] shumaguanxianshi-VHDL

用VHDL语言编程完成数码管0-255数字的显示
VHDL language programming with the 0-255 number to complete the display of the digital control (2009-09-07, VHDL, 2KB, 下载44次)

http://www.pudn.com/Download/item/id/902781.html

[VHDL/FPGA/Verilog] Verilog_golden

PGA编程语言之Verilog中文版教材,黄金级教材值得一看
PGA of Verilog programming language Chinese teaching materials, teaching materials worth Gold (2009-08-14, VHDL, 512KB, 下载28次)

http://www.pudn.com/Download/item/id/877878.html

[VHDL/FPGA/Verilog] traffic_controller

实现交通灯控制器的vhdl编程,并且经过下载验证
Implementation of traffic light controller VHDL programming, and has gone through a download authentication (2009-03-26, VHDL, 1441KB, 下载28次)

http://www.pudn.com/Download/item/id/689143.html
总计:1308