联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All 单片机开发(11) 
按平台查找All VHDL(11) 

[单片机开发] eda

采用 6 个数码管分别显示小时、分钟和秒的数值; (2) 计时方式可在 12 小时/24 小时之间切换; (3) 通过按键可以对 “时”和 “分”进行校时,同时秒计数器清零。
Using six digital tube display hours, minutes and seconds values (2) the timing mode can be between 12 hours/24 hours switch (3) may be on the when and points in the school through the key, while the second counter is cleared. (2014-12-08, VHDL, 530KB, 下载1次)

http://www.pudn.com/Download/item/id/2670160.html

[单片机开发] clock

多功能数字钟,具有调时校时,整点报时,闹铃及其设定等功能,可直接下载到DE0开发板上
verilog clock (2014-10-29, VHDL, 177KB, 下载3次)

http://www.pudn.com/Download/item/id/2644472.html

[单片机开发] Radio-Controlled-Clock

可以自动计时与校时的电波钟程序,内含解码程序
Timing and clock radio automatically when the school program, containing decoding program (2014-10-21, VHDL, 723KB, 下载15次)

http://www.pudn.com/Download/item/id/2639474.html

[单片机开发] clock

数字时钟 LCD1602显示 可以校时。 编译环境QUARTUS II 7.2
Digital clock LCD1602 display can be corrected. Compilation environment QUARTUS II 7.2 (2014-06-27, VHDL, 2107KB, 下载1次)

http://www.pudn.com/Download/item/id/2576081.html

[单片机开发] Digital-Clock

1.具有‘时’、‘分’、‘秒’、‘毫秒’的数码管十进制数字显示。 2. 具有手动校时、校分的功能。 3.具有定时与闹钟功能,能在设定的时间使LED灯亮光。 4.能进行整点报时。即从59分50秒起,每隔2秒钟绿色LED灯点亮一次,连续5次,最后一次红色LED灯点亮一次,表明到达整点。 5、具有秒表功能,能显示1 秒,手动停止。 6、具有倒计时功能,显示小时、分钟、秒。
1. With ' when' , ' points' , ' second' , ' ms' digital tube display decimal digits. (2) When a manual school, school of function. 3. With a timer and alarm clock function, can make the LED lights light at a set time. 4. Can carry the whole point timekeeping. Namely, from 59 minutes and 50 seconds, every 2 seconds the green LED lights up once, five consecutive times, the last time the red LED lights up, indicating that reaches the whole point. 5, with a stopwatch function that can display one percent second manually stopped. 6, with a countdown function, display of hours, minutes, seconds. (2014-03-10, VHDL, 2KB, 下载2次)

http://www.pudn.com/Download/item/id/2480038.html

[单片机开发] CLKGDF

设计了一个数字钟,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。
Design a digital clock, timing functions can be completed from 00:00:00 to 23:59:59, and has to maintain the role of the control circuit is cleared quickly School, rapid correction points, the whole point timekeeping function. (2013-04-10, VHDL, 178KB, 下载2次)

http://www.pudn.com/Download/item/id/2194775.html

[单片机开发] clock

功能要求: 分离模块要求: 1)设计一个可以显示012345的显示电路,并利用单片机实现。 2)利用按键切换,然后显示ABCDEF 3)按键切换的动作,全部用串口进行通信。 设计一个开关,当进行切换后,程序再进入主要要求。 主要要求: (1) 显示准确的北京时间(时、分、秒),可用24小时制式; (2) 随时可以调校时间。 (3) 增加公历日期显示功能(年、月、日),年号只显示最后两位; (4) 随时可以调校年、月、日; (5) 允许通过转换功能键转换显示时间或日期。 (6) 所有按键需要通过串口自发自收来调校各种功能。
Functional requirements: Separation module requires: 1) design a display can show 012,345 circuit, which uses single chip. 2) the use of key switching, and then display the ABCDEF 3) button to switch the action, all with the serial communication. Design of a switch, when to switch, the program re-entering the main requirement. Key requirements: (1) shows the exact Beijing (hours, minutes, seconds), available 24 hours format (2) can be adjusted at any time. (3) increasing the Gregorian calendar display function (year, month, day), era show only the last two (4) can always adjust the year, month, day (5) allows conversion by converting function key display time or date. (6) All keys need to adjust the serial port of spontaneous self-closing functions. (2011-04-24, VHDL, 10KB, 下载12次)

http://www.pudn.com/Download/item/id/1503797.html

[单片机开发] Applicationof8031controlleddigitalclock

应用8031单片机控制的数字时钟上,在设计上采用硬件计数与软件计数相结合的方式,并且定时器T0采用了中断方式,优先级最高。然后通过开关的闭合与关断来控制闹钟的校时,整点报时等功能。
Application of 8031 controlled digital clock, counting in the design of hardware and software using a combination of counts and using the timer interrupt T0, the highest priority. Then closed through the switch to control the clock and off the school, the whole point of time and other functions. (2010-12-14, VHDL, 862KB, 下载1次)

http://www.pudn.com/Download/item/id/1379764.html

[单片机开发] DZZ1

多功能数字钟 能进行正常的时、分、秒计时功能, 分别由6个数码管显示24小时、60分钟、60秒钟的计数器显示。   2. 能利用实验系统上的按键实现“校时”“校分”功能: 3. 能利用扬声器做整点报时
VHDL (2009-10-23, VHDL, 1000KB, 下载29次)

http://www.pudn.com/Download/item/id/947229.html

[单片机开发] clock

数字系统设计报告,多功能电子钟,显示年月日星期时分秒,及校时等功能
Digital system design report, multi-functional electronic bell, show date when the minutes and seconds a week, and school functions when (2009-01-02, VHDL, 131KB, 下载33次)

http://www.pudn.com/Download/item/id/622230.html

[单片机开发] clock

电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,sec:此三信号分别输出并显示时、分、秒信号, 皆采用BCD 码计数,分别驱动6 个数码管显示时间; alert: 输出到扬声器的信号,用于产生闹铃音和报时音; 闹铃音为持续20 秒的急促的“嘀嘀嘀”音,若按住“change”键, 则可屏蔽该音;整点报时音为“嘀嘀嘀嘀—嘟”四短一长音; LD_alert: 接发光二极管,指示是否设置了闹钟功能; LD_hour: 接发光二极管,指示当前调整的是小时信号; LD_min: 接发光二极管,指示当前调整的是分钟信号
err (2008-12-08, VHDL, 2KB, 下载34次)

http://www.pudn.com/Download/item/id/598880.html
总计:11