联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(1) 
按平台查找All Visual Basic(1) 

[VHDL/FPGA/Verilog] VisonFly-D4100-SDK

DLP Discovery 4100 数字微镜(DMD)空间光开关光调制器开发系统 1.全面兼容德州仪器TI DLP D4100 开发系统. 能够支持1920X1080 DMD(DMD微镜为10.6微米,本征分辨率为1920X1080) 数字微镜(DMD)空间光开关光调制器开发系统 2. 1024 X 768 的DMD(4:3)有两种微镜结构,一种是13.68 微米, 对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸;我们系统都能支持所有主流分辨率DMD 3. 支持USB2.0 高速度传输图片和控制信号 4. 开放式控制软件基于Windows XP 全速度USB驱动,在Visual Basic 下编制,开发式接口, 易于高精度光学科研实验 5. 提供丰富的Windows XP 的USB 控制程序和API 开发系统 6. 支持XGA, 1080p 和1920x1200 分辨率单个微镜精确控制 7. 开放式FPGA 架构, 提供示例FPGA 的二次开发选择和客户 定制功能 8. 高速二进和任意灰度制图片显示 输入输出系统触发,支持通 用客户顶GPIO 口设置. 9. 我们能为客户提供全程独特定做和设计服务. 应用: 结构光投影,激光全息,无掩模光刻,高光谱成像,激光光束校形, 3D 测量和3D 打印机技术, 光谱分析. Jefferson_zhao@163.com
DLP DMD Discovery 4100 (2014-01-20, Visual Basic, 6299KB, 下载31次)

http://www.pudn.com/Download/item/id/2453582.html
总计:1