联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All 图形图象(1) 
按平台查找All Quartus II(1) 

[图形图象] text seven

VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。
A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be used can be selected as EP2C5T144C8 FPGA of Altera Cyclone II series). (2019-06-11, Quartus II, 754KB, 下载2次)

http://www.pudn.com/Download/item/id/1560263576681663.html
总计:1