联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(30) 
按平台查找All C++(30) 

[VHDL/FPGA/Verilog] fling_hdl

硬件描述语言,其功能类似于我的自定义编程语言Fling,
A Hardware Description Language with features similar to my custom programming language, Fling, (2020-06-12, C++, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1694150000489550.html

[VHDL/FPGA/Verilog] esp-fipsy

用于ESP32的Fipsy FPGA编程器
Fipsy FPGA Programmer for ESP32 (2022-06-25, C++, 6KB, 下载0次)

http://www.pudn.com/Download/item/id/1656089640978986.html

[VHDL/FPGA/Verilog] PP4FPGAS_Study_Notes_S1C04_HLS_DFT

《FPGA并行编程》读书笔记(第一期)04_DFT源代码
Reading Notes on FPGA Parallel Programming (Phase 1) 04_ DFT source code (2019-09-01, C++, 8KB, 下载0次)

http://www.pudn.com/Download/item/id/1567316454446085.html

[VHDL/FPGA/Verilog] CR-CPU

一个简单的FPGA cpu、汇编程序和C编译器。
A simple FPGA cpu, assembler, and C compiler. (2022-12-15, C++, 17957KB, 下载0次)

http://www.pudn.com/Download/item/id/1671050725247580.html

[VHDL/FPGA/Verilog] arduFPGA-assembler

在ATmega ATXmega或其他微控制器上运行的小型汇编程序。
A small assembler that run on an ATmega ATXmega or other microcontroller. (2022-11-28, C++, 748KB, 下载0次)

http://www.pudn.com/Download/item/id/1669615291137809.html

[VHDL/FPGA/Verilog] fpgaprog

用于编程Saanlima的Pipistrello板的实用程序
Utility for programming the Pipistrello board from Saanlima (2015-12-28, C++, 48KB, 下载0次)

http://www.pudn.com/Download/item/id/1451305388929659.html

[VHDL/FPGA/Verilog] FT2232H-SPI-programmer

一个简单的C++程序,用于通过SPI对芯片进行编程。这最初是针对晶格FPGA。
A simple C++ program for programming chips over SPI. This is initially targetting lattice FPGAs. (2015-07-06, C++, 9KB, 下载0次)

http://www.pudn.com/Download/item/id/1436195891551045.html

[VHDL/FPGA/Verilog] PP4FPGAS_Study_Notes_S1C05_HLS_FFT

《FPGA并行编程》读书笔记(第一期)05_HLS_FFT源代码
Reading Notes on FPGA Parallel Programming (Phase 1) 05_ HLS_ FFT source code (2021-02-13, C++, 22KB, 下载0次)

http://www.pudn.com/Download/item/id/1613174839997951.html

[VHDL/FPGA/Verilog] PP4FPGAS_Study_Notes_S1C02_HLS_FirFilter

《FPGA并行编程》读书笔记(第一期)02_Fir滤波器
Reading Notes on FPGA Parallel Programming (Phase 1) 02_ Fir filter (2019-08-21, C++, 5KB, 下载0次)

http://www.pudn.com/Download/item/id/1566320117707131.html

[VHDL/FPGA/Verilog] FPGAsm

一种用于FPGA的低层次网表汇编程序
A low-level hierarchical netlist assembler for FPGAs (2021-07-26, C++, 69KB, 下载0次)

http://www.pudn.com/Download/item/id/1627237225477834.html

[VHDL/FPGA/Verilog] MTCNN_with_HLS_On_FPGA

用c语言重新编程卷积的MTCNN
MTCNN with convolution reprogramed in c (2019-07-25, C++, 2953KB, 下载0次)

http://www.pudn.com/Download/item/id/1564012748886875.html

[VHDL/FPGA/Verilog] rpi-jtag-spartan3

用于Spartan3 FPGA的Raspberry PI JTAG编程器。
Raspberry PI JTAG programmer for Spartan3 FPGA. (2020-10-25, C++, 8KB, 下载0次)

http://www.pudn.com/Download/item/id/1603612254903410.html

[VHDL/FPGA/Verilog] xsvfduino

Arduino作为XSVF播放器来编程CPLD和FPGA
An Arduino as an XSVF player to program CPLD s and FPGA s (2021-10-23, C++, 1536KB, 下载0次)

http://www.pudn.com/Download/item/id/1634939329643998.html

[VHDL/FPGA/Verilog] rosetta

罗塞塔:用于软件可编程FPGA的逼真的高级综合基准套件
Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs (2023-01-24, C++, 27673KB, 下载0次)

http://www.pudn.com/Download/item/id/1674561485242656.html

[VHDL/FPGA/Verilog] openFPGALoader

FPGA编程的通用工具
Universal utility for programming FPGA (2023-05-29, C++, 1680KB, 下载0次)

http://www.pudn.com/Download/item/id/1685297067459371.html

[VHDL/FPGA/Verilog] (Practical-FPGA-Programming-in-C)

fpga编程 c++应用开发板 案例教程
The fpga programming c++ applications (2015-08-11, C++, 17783KB, 下载9次)

http://www.pudn.com/Download/item/id/1439261712672791.html

[VHDL/FPGA/Verilog] TRM_Nano130_Series_SC_Rev1.07

新唐nano130编程手册,供新手学习,寄存器信息一应俱全。
Nuvoton nano130 programming manual for novices to learn, register information readily available. (2014-02-21, C++, 8915KB, 下载6次)

http://www.pudn.com/Download/item/id/2467178.html

[VHDL/FPGA/Verilog] NIOS_DIG

基于FPGA软核系统,通过nios编程使开发板数码管定时计数
FPGA-based soft-core systems through programmed to nios development board digital timer count (2014-02-19, C++, 11959KB, 下载2次)

http://www.pudn.com/Download/item/id/2465781.html

[VHDL/FPGA/Verilog] MAX263-MAX268

D板的数字可编程有源滤波模块设计,MAX26 系列数字编码式滤波器的使用方法
MAX263,MAX264,MAX265,MAX266,MAX267,MAX268 (2010-07-25, C++, 4024KB, 下载135次)

http://www.pudn.com/Download/item/id/1250390.html

[VHDL/FPGA/Verilog] vhdlcode

vhdl编程例子,很好的源码,值得一看 。
vhdl programming examples and a good source, an eye-catcher, an eye-catcher. (2006-09-03, C++, 165KB, 下载23次)

http://www.pudn.com/Download/item/id/212018.html
12
总计:30