联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All 物理/力学计算(1) 
按平台查找All VHDL(1) 

[物理/力学计算] FPGA-Gravity-calculator-and-sine-wave-generator

FPGA编程计算摆锤上的重力加速度并在VGA显示器上产生正弦波
Programming a FPGA to calculate gravitional acceleration on Pendulum and generate sine wave wave on VGA monitor (2024-01-23, VHDL, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1706052399657434.html
总计:1