联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(1154) 

[VHDL/FPGA/Verilog] tetris_fpga

俄罗斯方块游戏的FPGA Verilog实现
Verilog implementation of Tetris game for FPGA (2024-02-08, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1707396295671058.html

[VHDL/FPGA/Verilog] DE10-Lite_Accelerometer

SystemVerilog设计使用DE10 Lite FPGA开发板上的加速度计
SystemVerilog design to use the accelerometer on the DE10-Lite FPGA Development Board (2021-05-26, SystemVerilog, 16KB, 下载0次)

http://www.pudn.com/Download/item/id/1621966102725809.html

[VHDL/FPGA/Verilog] tetris

用C++软件实现俄罗斯方块FPGA图形化
Tetris FPGA graphical implementation with C++ software (2023-05-03, C, 21170KB, 下载0次)

http://www.pudn.com/Download/item/id/1683114710992460.html

[VHDL/FPGA/Verilog] BASYS3-PONG

巴斯3乒乓球比赛
BASYS 3 - PONG GAME (2017-05-05, SystemVerilog, 86KB, 下载0次)

http://www.pudn.com/Download/item/id/1493983697931698.html

[VHDL/FPGA/Verilog] 培训代码

使用Verilog语言编程实现用有限状态机实现序列检测、全加器、AD转换等功能
Sequence detection with finite state machine (2020-01-14, Verilog, 2KB, 下载1次)

http://www.pudn.com/Download/item/id/1578931344288975.html

[VHDL/FPGA/Verilog] source

qdr2的verilog源代码,莱蒂斯FPGA
qdr2 verilog LATTICE FPGA (2017-04-24, VHDL, 16KB, 下载3次)

http://www.pudn.com/Download/item/id/1493011148798924.html

[VHDL/FPGA/Verilog] TEST1

在本实验中,用三个按键开关来表示 1 位全加器的三个输入( Ai、 Bi、 Ci); 用二个 LED 来表示 1 位全加器的二个输出( Si, C)。通过输入不同的值来观察输 入的结果与 1 位全加器的真值表(表 1-1)是否一致。
In this experiment, three button switches to represent three input a full adder (Ai, Bi, Ci) two by two LED to indicate output a full adder (Si, C). By entering different values and observe the results entered a full-adder truth table (Table 1-1) are the same. (2016-12-27, VHDL, 273KB, 下载1次)

http://www.pudn.com/Download/item/id/1482840370124070.html

[VHDL/FPGA/Verilog] EX3_LED

完成LED的自加功能,里面包含完整的说明和测试文件
Complete self-plus-function LED, which contains complete instructions and test files (2015-04-14, VHDL, 3140KB, 下载1次)

http://www.pudn.com/Download/item/id/1428999783288382.html

[VHDL/FPGA/Verilog] lab16

数字式秒表大实验的设计代码,并附加测试代码
Digital stopwatch big experiment design code and test code attached (2014-05-07, VHDL, 33KB, 下载8次)

http://www.pudn.com/Download/item/id/2533241.html

[VHDL/FPGA/Verilog] example6

加按键程序,有助于vhdl语言的初级学习!可以下载看看.
Plus key procedures, contribute to the VHDL language the primary learning! Can download to see. (2013-04-29, VHDL, 27KB, 下载3次)

http://www.pudn.com/Download/item/id/2221030.html

[VHDL/FPGA/Verilog] fft_8

基于quartusiI的8位傅立叶变换。verlog程序加仿真。
Based quartusiI eight Fourier transform. verlog program plus simulation. (2012-11-20, LISP, 21769KB, 下载8次)

http://www.pudn.com/Download/item/id/2054220.html

[VHDL/FPGA/Verilog] Four-bit-full-adder

四位全加器,是自己编写的,如有错误,请原谅
I have written four full adder, is subject to error, please forgive (2012-11-17, VHDL, 39KB, 下载3次)

http://www.pudn.com/Download/item/id/2050347.html

[VHDL/FPGA/Verilog] four_adder

通过调用被实例化的模块来实现四位全加器功能
Four full adder function is achieved by calling the module is instantiated (2012-11-08, VHDL, 1KB, 下载3次)

http://www.pudn.com/Download/item/id/2041372.html

[VHDL/FPGA/Verilog] VHDLbasic_cal

VHDL的加、减、乘、比较等基本运算的源代码
VHDL add, subtract, multiply, compare the source code of the basic operations (2012-09-04, VHDL, 41KB, 下载4次)

http://www.pudn.com/Download/item/id/1983791.html

[VHDL/FPGA/Verilog] bit1_add

通过使用VHDL语言编写程序实验1位半加器的功能
Through the use of VHDL language programming experiment 1 and a half adder function (2012-02-28, VHDL, 103KB, 下载3次)

http://www.pudn.com/Download/item/id/1781024.html

[VHDL/FPGA/Verilog] Sainty2

里边有一个半加器。、一个全加器、一个触发器和一个无符号4乘4的乘法器程序,可以完成4位无符号数相乘
Inside there is a half adder. , A full adder, a flip-flop, and an unsigned 4 by 4 multiplier process can be completed by multiplying the number of 4-bit unsigned (2011-06-04, VHDL, 3KB, 下载6次)

http://www.pudn.com/Download/item/id/1558921.html

[VHDL/FPGA/Verilog] Desktop

曼彻斯特编码的VHDL语言实现,可以用于RFID防碰撞编码的实现
Manchester encoding of the VHDL language, can be used for implementation of RFID anti-collision code (2011-05-12, VHDL, 1KB, 下载15次)

http://www.pudn.com/Download/item/id/1529428.html

[VHDL/FPGA/Verilog] 8-ADDER-VHDL

用硬件描述语言编写的8位全加器代码,很实用!
Using hardware description language preparation 8 bits QuanJia implement code, very useful!! (2011-01-21, VHDL, 1KB, 下载3次)

http://www.pudn.com/Download/item/id/1417811.html

[VHDL/FPGA/Verilog] quartus2-1

QuartusII编程设计一款基于FPDA/QuartusII的计算机部件,可以实现算术运算(加,减,自加1,自减1,乘法,除法)和逻辑运算(与,或,非)等功能!
Based on a QuartusII Programming FPDA/QuartusII the computer components can be achieved Arithmetic (add, subtract, from plus 1, since the minus 1, multiplication, division) and logical operators (and, or, non-) and other functions! (2009-07-20, VHDL, 1989KB, 下载2次)

http://www.pudn.com/Download/item/id/848976.html

[VHDL/FPGA/Verilog] fulladder4

VHDL图形文件实现的4位全加器,希望对大家有用!
VHDL graphics files to achieve four full adder, in the hope that useful! (2009-06-24, VHDL, 148KB, 下载2次)

http://www.pudn.com/Download/item/id/820056.html
总计:1154