联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找All VHDL/FPGA/Verilog(1152) 

[VHDL/FPGA/Verilog] DigitalSystemDesign

里斯本Tecnico Digital System Design课程VHDL代码,
VHDL code for the course Digital System Design @ Técnico Lisboa, (2023-10-17, VHDL, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1697542683803551.html

[VHDL/FPGA/Verilog] MEMS-FPGA-accelerometer

一种基于FPGA器件的自振荡MEMS加速度计控制程序(Altera Cyclone)
A control program for the self-oscillating MEMS accelerometer based on a FPGA device (Altera Cyclone) (2021-04-03, C++, 2876KB, 下载0次)

http://www.pudn.com/Download/item/id/1617460045463055.html

[VHDL/FPGA/Verilog] ALU

用verilog寫成的ALU,有簡易的加減乘除、shifting、logic gate等功能。
Written by verilog ALU, there is a simple addition, subtraction, shifting, logic gate functions. (2016-12-03, VHDL, 236KB, 下载1次)

http://www.pudn.com/Download/item/id/1480777042484027.html

[VHDL/FPGA/Verilog] tanchishe

VHDL实现的贪吃蛇,碰到自己身体或规定范围壁障游戏结束,每吃3个点身体长度加1
VHDL Snake (2016-01-05, Others, 3KB, 下载2次)

http://www.pudn.com/Download/item/id/1452001705797380.html

[VHDL/FPGA/Verilog] adder8

8位全加器,Verilog硬件语言源代码。最基础的加法器。
8-bit carry-ripple adder, the basic adder。Achieved by verilog source code. (2014-04-15, VHDL, 10KB, 下载3次)

http://www.pudn.com/Download/item/id/2511637.html

[VHDL/FPGA/Verilog] Example9

一个基于FPGA的四位全加器的小程序,输入两个二进制数并计算结果。
An FPGA-based four full adder applet, enter two binary numbers and calculations. (2014-01-13, VHDL, 138KB, 下载3次)

http://www.pudn.com/Download/item/id/2449341.html

[VHDL/FPGA/Verilog] lqz3

这个程序是带置位的同步可逆(加1或减1)5进制计数器
This procedure is reversible with synchronous set (plus one or minus one) 5 binary counter (2013-08-20, VHDL, 523KB, 下载3次)

http://www.pudn.com/Download/item/id/2334458.html

[VHDL/FPGA/Verilog] eda1

原理图方式实现8位全加器,文件类型为gdf ,vhd 文件
8-bit full adder schematic way, the file type for the GDF vhd file (2013-05-15, VHDL, 82KB, 下载3次)

http://www.pudn.com/Download/item/id/2244049.html

[VHDL/FPGA/Verilog] eda

EDA实验报告 内含 交通灯 数字时钟 全加器 触发器 的 代码灯
The EDA lab report contains the code of the traffic lights digital clock full adder trigger light (2013-05-11, VHDL, 501KB, 下载2次)

http://www.pudn.com/Download/item/id/2238761.html

[VHDL/FPGA/Verilog] bilineaandrimpinvar

设计滤波器(包括双线性变换法和直接法)巴特沃斯型的
Filter design (2012-12-26, matlab, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/2097486.html

[VHDL/FPGA/Verilog] uart

经测试过的串口译码,很好用的,可以试试,不清楚的可以加我Q258903455
The tested com decoding, nice, can have a try, don t know to increase my Q258903455 (2012-11-28, VHDL, 8KB, 下载5次)

http://www.pudn.com/Download/item/id/2064255.html

[VHDL/FPGA/Verilog] sy1

里面附有两个VHDL实验,分别是一位全加器和计数译码显示模块
Experiments with two VHDL which, respectively, a full adder and the counter display module decoding (2011-06-11, VHDL, 260KB, 下载5次)

http://www.pudn.com/Download/item/id/1565666.html

[VHDL/FPGA/Verilog] ISE9_1

LILIXN赛灵斯自带的ISE使用说明书。还不错哈。
the introduction ISE for lilinx. (2010-12-05, VHDL, 598KB, 下载4次)

http://www.pudn.com/Download/item/id/1369464.html

[VHDL/FPGA/Verilog] jishuqi

每按下开关,计数器加1且通过发光二极管显示出来
Each switch is pressed, the counter increased by 1 and shown by light-emitting diode (2010-04-29, Visual C++, 34KB, 下载4次)

http://www.pudn.com/Download/item/id/1150048.html

[VHDL/FPGA/Verilog] manchester-code

曼彻斯特编码技术用电压的变化表示0和1。规定在每个码元中间发生跳变。高→ 低的跳变表示0,低→ 高的跳变表示为1。每个码元中间都要发生跳变,接收端可将此变化提取出来作为同步信号,使接收端的时钟与发送设备的时钟保持一致
Manchester coding techniques that use voltage changes in 0 and 1. Provisions in the middle of each symbol hopping happen. High → low hopping express 0, low → high jump for the express one. Symbol between each transition must happen, this change in the receiver can be extracted as a synchronization signal to the receiving end of the clock and send the equipment to maintain the same clock (2009-04-05, VHDL, 89KB, 下载133次)

http://www.pudn.com/Download/item/id/702904.html

[VHDL/FPGA/Verilog] FADDER_2

32位全加器 在querters II 下面运行成功 仿真 验证均已成功
32-bit full adder at querters II following the success of simulation runs have been successful (2009-03-21, VHDL, 6KB, 下载7次)

http://www.pudn.com/Download/item/id/682567.html

[VHDL/FPGA/Verilog] chap8

常用经典典型电路,如全加器,乘法器,如何减小资源
Commonly used classical typical circuit, such as the full adder, multiplier, how to reduce the resources (2008-06-13, VHDL, 4KB, 下载3次)

http://www.pudn.com/Download/item/id/489291.html

[VHDL/FPGA/Verilog] full_adder3

三位全加器的源代码,和测试代码,用Verilog HDL实现的!
The three full adder of the source code, and test code, using Verilog HDL to achieve! (2008-03-18, Others, 35KB, 下载11次)

http://www.pudn.com/Download/item/id/417930.html

[VHDL/FPGA/Verilog] dfX8BLn9

是一個用verilog寫成的加法器電路,可把七個元件加起來
Is a written with Verilog adder circuit, can add up to seven components (2008-03-17, Windows_Unix, 84KB, 下载3次)

http://www.pudn.com/Download/item/id/417860.html

[VHDL/FPGA/Verilog] verilog5

verilog语言中 testbencch编写-仿真工具综合工具使用-全加器实例讲解
Verilog language testbencch preparation- the use of simulation tools integrated tools- examples of full adder on the (2008-01-11, PDF, 185KB, 下载8次)

http://www.pudn.com/Download/item/id/392889.html
总计:1152