联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按平台查找All Verilog(124) 

[ASP/.NET编程] simbricks-lpn

SimBricks延迟Petri网集成的回购
Repo for the SimBricks Latency Petri Net integration (2024-04-25, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1714080875176107.html

[以太坊] 10-Gigabit-Ethernet-MAC-Core-UVM-Verification-

万兆以太网MAC核心UVM验证,
10 Gigabit Ethernet MAC Core UVM Verification, (2023-10-05, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1696545942271868.html

[VHDL/FPGA/Verilog] hdl-eth-recv

在SystemVerilog中实现的简单以太网接收机,
Simple ethernet receiver implemented in SystemVerilog, (2023-10-05, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1696536282244975.html

[VHDL/FPGA/Verilog] iob-eth

基本Verilog以太网核心和C驱动程序功能,
Basic Verilog Ethernet core and C driver functions, (2023-09-14, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1694755999148671.html

[VHDL/FPGA/Verilog] ethernet_10ge_mac_SV_tb

以太网10GE MAC核心的SystemVerilog测试台,
SystemVerilog testbench for an Ethernet 10GE MAC core, (2016-04-01, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1694137958889885.html

[硬件设计] bsim

bsim是一种处理门级网表的算法推理工具。,
bsim is an algorithmic inference tool that processes gate-level netlists., (2020-06-23, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1694066022749525.html

[工具库] axi2ethernet

以太网到AXI4内存映射和流式总线桥,
Ethernet-to-AXI4 Memory Mapped and Streaming Bus Bridge, (2023-01-22, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1689738653342910.html

[操作系统开发] ethernet1g

以太网1G,在cyclone 10lp上具有开放核mac和lantiq phy
Ethernet 1G with opencores mac and lantiq phy on cyclone 10lp (2022-06-16, Verilog, 678KB, 下载0次)

http://www.pudn.com/Download/item/id/1687460660226866.html

[VHDL/FPGA/Verilog] Nexys-4-DDR-Ethernet-Mac

用于Digilent Nexys 4 DDR FPGA的以太网MAC。
Ethernet MAC for the Digilent Nexys 4 DDR FPGA. (2018-08-21, Verilog, 36KB, 下载0次)

http://www.pudn.com/Download/item/id/1534816363620148.html

[VHDL/FPGA/Verilog] SDH-telecommunications-network-E1-

VHDL中的SDH电信网(E1)
SDH telecommunications network (E1) in VHDL (2016-03-31, Verilog, 835KB, 下载0次)

http://www.pudn.com/Download/item/id/1459401436761297.html

[VHDL/FPGA/Verilog] Ethernet-communication-VHDL

基于RMII接口的实时以太网通信的FPGA实现
FPGA implementation of Real-time Ethernet communication using RMII Interface (2014-09-18, Verilog, 265KB, 下载1次)

http://www.pudn.com/Download/item/id/1410997984235247.html

[VHDL/FPGA/Verilog] RGMII_Ethernet_Transceiver_Verilog

从RGMII兼容以太网PHY向发送接收的Verilog模块
Verilog module to transmit receive to from RGMII compatible ethernet PHY (2022-12-31, Verilog, 25KB, 下载1次)

http://www.pudn.com/Download/item/id/1672483917536145.html

[VHDL/FPGA/Verilog] Ethernet-design-verilog

千兆以太网UDP通信驱动程序
Gigabit Ethernet UDP communication driver (2019-07-26, Verilog, 25KB, 下载1次)

http://www.pudn.com/Download/item/id/1564120255129112.html

[以太坊] ethernet_10ge_mac_SV_UVM_tb

基于SystemVerilog的以太网10GE MAC核心UVM测试台
SystemVerilog-based UVM testbench for an Ethernet 10GE MAC core (2018-07-16, Verilog, 88KB, 下载0次)

http://www.pudn.com/Download/item/id/1531724616320773.html

[VHDL/FPGA/Verilog] AT421-MN-80001-r0p0-01rel0

cortex-m3 FPGA 加密网表,能综合能运行
cortex-m3 IP for FPGA (2021-04-23, Verilog, 15523KB, 下载0次)

http://www.pudn.com/Download/item/id/1619143070830838.html

[VHDL/FPGA/Verilog] 5845481Ethernet

非长有用的verilog代码,可以参考下以太网开发
It's a very useful code to learn ethernet (2020-10-29, Verilog, 118KB, 下载0次)

http://www.pudn.com/Download/item/id/1603975266790169.html

[VHDL/FPGA/Verilog] HomebrewAurora-master

crc eth网的,里面有代码,还有文字,图片等信息
Crc eth network, which has code, text, pictures and other information (2020-09-29, Verilog, 202KB, 下载0次)

http://www.pudn.com/Download/item/id/1601393021202904.html

[VHDL/FPGA/Verilog] ad9280_ethernet

基于FPGA,硬件平台:ALINX与PANGO合作的PGL22G开发板、AD9280模块,软件平台:PDS。描述语言:verilog。AD9280以太网传输例程。
Based on FPGA, hardware platform: pgl22g development board, ad9280 module cooperated by alinx and Pango, software platform: PDs. Description Language: Verilog. Ad9280 Ethernet transmission routine. (2019-11-19, Verilog, 14343KB, 下载1次)

http://www.pudn.com/Download/item/id/1574164824901789.html

[VHDL/FPGA/Verilog] ethernet_test

基于xilinx的以太网通信Verilog代码
Verilog code of Ethernet communication based on Xilinx (2019-11-07, Verilog, 2134KB, 下载14次)

http://www.pudn.com/Download/item/id/1573113543703380.html

[VHDL/FPGA/Verilog] 基于NIOSⅡ的嵌入式以太网口的设计

论述 了一种实现嵌入式系统网络化的新思路 ,通过简化的TCP/ IP 协议 Lw lP ,利用N IOSII ,将其移植到 MicroC/ O S 一Ⅱ 操作系统 中, 以此实现嵌入式设备与网络的互联 。从硬件和软件两个部分详细介绍 了基 于 N IOSII软核 CPU 的嵌入式以太网口的开发。 还介绍 SOPC Builder 的使用,操作系统的移植 ,TCP/IP 的移植 以及 N IOSII中驱动程序和应用程序的编写。
The paper discusses a new approach to implementing the networking of embedded sy stems. (2018-11-06, Verilog, 190KB, 下载0次)

http://www.pudn.com/Download/item/id/1541480973848821.html
总计:124