联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找VHDL/FPGA/Verilog(1203) 嵌入式/单片机/硬件编程(404) 其他(237) 处理器开发(235) 硬件设计(174) 单片机开发(53) 交通/航空行业(36) 人工智能/神经网络/深度学习(29) 数学计算(19) 游戏(18) 超算/并行计算(17) collect(17) 通讯编程(15) 物理/力学计算(13) Windows编程(11) 工具库(9) 自然语言处理(8) 源码/资料(7) 3G/4G/5G开发(7) 内容生成(7) 文章/文档(6) 数值算法/人工智能(6) 汇编语言(6) 流媒体/Mpeg4/MP4(6) 操作系统开发(6) matlab编程(6) 大数据(6) 土木工程(6) 网络编程(5) 压缩解压(5) 磁盘编程(5) 仿真建模(5) 雷达系统(5) 挖矿(5) 图形图象(4) 串口编程(4) Modem编程(4) 分形几何(4) 自动驾驶(4) 测试(4) 虚拟化(4) *行业应用(3) 浏览器(3) 图形图像处理(3) 生物医药技术(3) 模式识别(视觉/语音等)(3) DSP编程(3) 物联网(3) 系统编程(2) Linux/Unix编程(2) 加密解密(2) 书籍源码(2) 金融证券系统(2) 其他嵌入式/单片机内容(2) 图片显示(2) 量子计算(2) 数据采集/爬虫(2) hotest(2) 项目开发与运营(2) 屏幕保护(1) 多显示器编程(1) 编辑器/阅读器(1) 多媒体(1) Ftp服务器(1) WEB邮件程序(1) 音频处理(1) WEB开发(1) 破解(1) 视频捕捉采集剪辑(1) 中间件编程(1) 邮电通讯系统(1) OA办公系统(1) 嵌入式Linux(1) uCOS/RTOS(1) 数据结构(1) 绘图程序(1) 其他书籍(1) 软件工程(1) 能源行业(电力石油煤炭)(1) 开源硬件(1) 博客(1) 虚拟/增强现实-VR/AR(1) 芯片资料(1) GPT/ChatGPT(1) C/C++基础(1) 以太坊(1) GPU/显卡(1) 自动编程(1) 论文(1) 图标/字体(1) 后台框架(1) 云数据库/云存储(1) wifi(1) 开发工具(1) Coq(1) 
按平台查找All Verilog(2704) 

[VHDL/FPGA/Verilog] Single-Cycle-RV-32I-Processor-

RISC-V处理器所有子模块的RTL Verilog文件(例如,寄存器文件、指令存储器等)。然后,实现RISC-V处理器的顶层模块
the RTL Verilog files for all submodules of the RISC-V processor (e.g. Register File, Instruction Memory, etc.). Then, implementing the top module of the RISC-V processor (2024-02-26, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1708913003381853.html

[处理器开发] RISCV

RISCV处理器,
RISCV Processor, (2016-03-14, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688890854134276.html

[嵌入式/单片机/硬件编程] sparc_processor

sparcprocessor,,处理器,,
sparcprocessor,, (2019-01-20, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688844033569913.html

[嵌入式/单片机/硬件编程] verilog_MIPS

MIPS处理器,
MIPS processor, (2021-08-05, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841286369504.html

[嵌入式/单片机/硬件编程] Arqui

MIPS处理器,
MIPS processor, (2015-05-24, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841286975103.html

[嵌入式/单片机/硬件编程] MIPS

MIPS处理器,
MIPS Processor, (2022-06-14, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841286659272.html

[嵌入式/单片机/硬件编程] mips

MIPS处理器,
MIPS Processor, (2021-04-06, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841026552953.html

[嵌入式/单片机/硬件编程] MIPS_processor

MIPS处理器,,
MIPSprocessor,, (2020-08-15, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841022718994.html

[嵌入式/单片机/硬件编程] cMIPS

一个完整的经典5级流水线MIPS 32位处理器,包括一个2位分支预测器、一个分支预测缓冲器和一个直接映射器...,
A complete classic 5-stage pipeline MIPS 32-bit processor, including a 2-bit branch predictor, a branch prediction buffer and a direct-mapped cache. (2019-09-03, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688840980958160.html

[嵌入式/单片机/硬件编程] MIPS

MIPS处理器,
MIPS processor, (2017-06-21, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688840893677246.html

[嵌入式/单片机/硬件编程] MIPS-Processor-Verilog

MIPS处理器Verilog,,
MIPS-Processor-Verilog,, (2017-07-19, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688840888154380.html

[嵌入式/单片机/硬件编程] edge

边缘处理器(MIPS),
Edge Processor ( MIPS ), (2014-07-17, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688839680989007.html

[VHDL/FPGA/Verilog] BHG_I2C_init_RS232_debugger

带有集成RS232调试器的Verilog I2C初始化器。
A Verilog I2C initializer with integrated RS232 debugger. (2022-08-19, Verilog, 27KB, 下载0次)

http://www.pudn.com/Download/item/id/1660852504184136.html

[3G/4G/5G开发] Interleaver

Turbo编码器中使用到的交织器的FPGA实现
Interleaver of Turbo encoder (2020-07-14, Verilog, 1KB, 下载10次)

http://www.pudn.com/Download/item/id/1594691844715498.html

[VHDL/FPGA/Verilog] 14_SDRAM

高速流水的SDRAM控制器,最高速度可达速度在200M左右
high speed SDRAM controller (2019-06-17, Verilog, 14562KB, 下载3次)

http://www.pudn.com/Download/item/id/1560768234153968.html

[VHDL/FPGA/Verilog] beep0

VHDL编写的,利用蜂鸣器实现播放乐曲的功能
using VHDL and making buzzle work (2019-06-09, Verilog, 3369KB, 下载2次)

http://www.pudn.com/Download/item/id/1560072886643230.html

[超算/并行计算] nor_ctrl

nor flash控制器接口,实测可用。
nor flash controller interface (2018-06-30, Verilog, 6KB, 下载7次)

http://www.pudn.com/Download/item/id/1530327713265903.html

[嵌入式/单片机/硬件编程] EPM240F100C5实现74138

用EPM240F100C5实现74138译码器
Implementation of 74138 decoders with EPM240F100C5 (2018-04-16, Verilog, 147KB, 下载1次)

http://www.pudn.com/Download/item/id/1523842763791680.html

[VHDL/FPGA/Verilog] count

用verilog语言编写一个计数器,改参数实现不同时间的计数器
Writing a counter in the Verilog language (2018-02-28, Verilog, 381KB, 下载1次)

http://www.pudn.com/Download/item/id/1519805973421827.html

[VHDL/FPGA/Verilog] yuanma

介绍了fpga开发的的数个工程源码,包括按键,时钟,AD/DA,VGA,数字示波器等
Introduced FPGA development of several engineering source code, including buttons, clock, AD/DA, VGA, digital oscilloscope, etc. (2017-08-23, Verilog, 90088KB, 下载11次)

http://www.pudn.com/Download/item/id/1503449613191656.html
总计:2704