联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按分类查找VHDL/FPGA/Verilog(1134) 嵌入式/单片机/硬件编程(376) 处理器开发(214) 其他(208) 硬件设计(152) 单片机开发(48) 人工智能/神经网络/深度学习(28) 交通/航空行业(27) 游戏(17) 数学计算(16) collect(16) 通讯编程(15) 超算/并行计算(15) Windows编程(11) 物理/力学计算(11) 工具库(9) 源码/资料(7) 3G/4G/5G开发(7) 文章/文档(6) 汇编语言(6) 流媒体/Mpeg4/MP4(6) 操作系统开发(6) matlab编程(6) 大数据(6) 自然语言处理(6) 网络编程(5) 磁盘编程(5) 仿真建模(5) 内容生成(5) 挖矿(5) 图形图象(4) 压缩解压(4) 数值算法/人工智能(4) 串口编程(4) 土木工程(4) 雷达系统(4) 测试(4) 虚拟化(4) *行业应用(3) 图形图像处理(3) 生物医药技术(3) Modem编程(3) 分形几何(3) 模式识别(视觉/语音等)(3) 自动驾驶(3) 系统编程(2) Linux/Unix编程(2) 加密解密(2) 浏览器(2) 书籍源码(2) DSP编程(2) 其他嵌入式/单片机内容(2) 物联网(2) 量子计算(2) 数据采集/爬虫(2) hotest(2) 屏幕保护(1) 多显示器编程(1) 编辑器/阅读器(1) 多媒体(1) Ftp服务器(1) WEB邮件程序(1) 音频处理(1) WEB开发(1) 破解(1) 中间件编程(1) 金融证券系统(1) 邮电通讯系统(1) 嵌入式Linux(1) uCOS/RTOS(1) 图片显示(1) 数据结构(1) 绘图程序(1) 其他书籍(1) 软件工程(1) 能源行业(电力石油煤炭)(1) 开源硬件(1) 博客(1) 虚拟/增强现实-VR/AR(1) 芯片资料(1) C/C++基础(1) 以太坊(1) 自动编程(1) 论文(1) 图标/字体(1) 后台框架(1) 云数据库/云存储(1) 项目开发与运营(1) wifi(1) 开发工具(1) Coq(1) 
按平台查找All Verilog(2488) 

[VHDL/FPGA/Verilog] Single-Cycle-MIPS-processor

MIPS处理器的所有子模块(指令存储器、数据存储器、ALU等)的RTL Verilog文件,然后实现top mo...,
the RTL Verilog files for all sub-modules of the MIPS processor (Instruction memory, data memory, ALU, etc.) then implementing the top module of MIPS processor. (2023-10-05, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1696536527349390.html

[嵌入式/单片机/硬件编程] MIPS

MIPS模拟器,计算机处理器和系统(SJTU中的MR329)的课程设计。,
MIPS simulator, the curriculum design for the Computer Processor and System (MR329 in SJTU)., (2018-03-25, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841041139454.html

[嵌入式/单片机/硬件编程] Single_Cycle_MIPS_Processor

单周期MIPS处理器,,
SingleCycleMIPSProcessor,, (2022-08-15, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841017537780.html

[嵌入式/单片机/硬件编程] CO224_Single_Cycle_Processor_Using_Verilog

使用Verilog HDL的单周期处理器(类似于MIPS)。此外,还实现了数据存储器和缓存以及指令存储器和缓存...,
Single Cycle Processor (Similar to MIPS) using Verilog HDL. Also Data memory and Cache and Instruction Memory and Cache are also implemented. (2022-09-25, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841011655259.html

[嵌入式/单片机/硬件编程] ECE550_project_MIPS_processor

ECE550项目MIPS处理器,,
ECE550projectMIPSprocessor,, (2021-11-18, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841006797870.html

[嵌入式/单片机/硬件编程] Superscalar_MIPS_Microprocessor

超标量MIPS微处理器,,
SuperscalarMIPSMicroprocessor,, (2020-12-01, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688841001805495.html

[嵌入式/单片机/硬件编程] MIPS-Single-Cycle-Processor

MIPS单周期处理器,,
MIPS-Single-Cycle-Processor,, (2022-03-18, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688840995665624.html

[嵌入式/单片机/硬件编程] Piplined_MIPS_microprocessor

流水线MIPS微处理器,,
PiplinedMIPSmicroprocessor,, (2022-08-27, Verilog, 0KB, 下载0次)

http://www.pudn.com/Download/item/id/1688839515700802.html

[处理器开发] RISC-V

流水线RV32I处理器
Pipelined RV32I Processor (2020-06-29, Verilog, 35KB, 下载0次)

http://www.pudn.com/Download/item/id/1687216625770964.html

[单片机开发] Oscilloscope

基于FPGA的双通道2M 12bit示波器。通过800*480VGA显示器显示。
A dual channel 2M 12bit oscilloscope based on FPGA. Displayed through an 800 * 480VGA display. (2018-12-29, Verilog, 3KB, 下载0次)

http://www.pudn.com/Download/item/id/1546049369599997.html

[VHDL/FPGA/Verilog] 移相器a

移相器 是把输入信号的相位移动相应的度数
The phase shifter moves the phase of the input signal by the corresponding degree (2021-01-22, Verilog, 1KB, 下载0次)

http://www.pudn.com/Download/item/id/1611295115472242.html

[VHDL/FPGA/Verilog] 1553_enc_dec

1553B总线的编码器、解码器的verilog硬件描述语言的实现
1553B BUS coder and decoder (2020-05-20, Verilog, 31KB, 下载9次)

http://www.pudn.com/Download/item/id/1589948053800431.html

[VHDL/FPGA/Verilog] 模糊控制器verilog程序代码

模糊控制器verilog程序,模糊控制器最简单的实现方法是将一系列模糊控制规则离线转化为一个查询表(又称为控制表)。这种模糊控制其结构简单,使用方便,是最基本的一种形式。
Verilog program of fuzzy controller (2020-04-14, Verilog, 7KB, 下载1次)

http://www.pudn.com/Download/item/id/1586837092389908.html

[VHDL/FPGA/Verilog] 基于Verilog的七人表决器工程

基于Verilog的七人表决器工程(包含整个QuartusII工程)
Verilog based seven person voter project (including the whole QuartusII project) (2019-11-06, Verilog, 279KB, 下载0次)

http://www.pudn.com/Download/item/id/1573048469796663.html

[其他] decode38

简单的38译码器代码,用verilog代码编写,有详细说明文档
Simple code for 38 decoders (2018-06-30, Verilog, 257KB, 下载0次)

http://www.pudn.com/Download/item/id/1530317311619786.html

[其他] 流水线乘法累加器设计

调用寄存器LPM,流水线加法器LPM,流水线乘法器LPM等模块实现一个8位流水线乘法累加器。
Call a register LPM, pipelined adder LPM, pipeline multiplier LPM and other modules to achieve a 8 bit pipelined multiplication accumulator. (2018-05-03, Verilog, 939KB, 下载8次)

http://www.pudn.com/Download/item/id/1525310708643600.html

[VHDL/FPGA/Verilog] Verilog的135个经典设计实例

Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例 12.7】11 阶FIR 数字滤波器。。。。。。。
135 classic examples of Verilog design (2018-04-25, Verilog, 164KB, 下载3次)

http://www.pudn.com/Download/item/id/1524639611454793.html

[汇编语言] 单周期完成版

写一个单周期处理器运行一段mips指令,并包含mips指令转汇编码的程序
Write a single cycle processor to run a section of MIPS instruction (2018-04-24, Verilog, 24KB, 下载9次)

http://www.pudn.com/Download/item/id/1524546840413363.html

[其他] MyALU1

一个关于寄存器的ALU功能,并能进行寄存器间的相互转化。
ALU REGISTER. THEY CAN TRANSLATE TO EACH OTHER. (2018-01-09, Verilog, 388KB, 下载1次)

http://www.pudn.com/Download/item/id/1515498008849948.html

[VHDL/FPGA/Verilog] PWM

利用Verilog语言设计一个PWM控制器,实现:控制器输入时钟1MHz;控制器输出脉冲周期1kHz,脉宽最小调节步长0.1%。
The Verilog language is used to design a PWM controller, which is realized: the controller input clock 1MHz; the controller output pulse cycle 1kHz, and the pulse width minimum adjustment step 0.1%. (2017-11-29, Verilog, 61KB, 下载9次)

http://www.pudn.com/Download/item/id/1511968895577327.html
总计:2488