联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期  
按平台查找All VHDL(129) 

[汇编语言] clock

多功能数字钟:在手动校时功能时,选择是调整小时,还是分 若长时间按住该键,还可使秒信号清零,用于精确调时
Multi-function digital clock: In the manual, when the school functions, the option is to adjust hours, or minutes, if a long time hold the key, so that second signal can be cleared for accurate time transfer (2009-09-04, VHDL, 1KB, 下载6次)

http://www.pudn.com/Download/item/id/900825.html

[VHDL/FPGA/Verilog] shuzizhong

1.计时功能采用24小时方式,显示小时、分钟、秒。 2.采用双键校时法,MODE和SET,前者选择始终模式(包括小时、分、秒校时),后者校时脉冲。 3.结果用6个共阳数码管显示。
1. Time functions the way the 24-hour, show hours, minutes, seconds. 2. The use of double bond at the Law School, MODE and SET, always choose the former model (including the hours, minutes and seconds when the school), the latter pulse school. 3. Results A total of six positive digital display. (2009-07-27, VHDL, 318KB, 下载3次)

http://www.pudn.com/Download/item/id/857701.html

[Windows编程] sun_clock

能手动校时,整点报时的时钟,具有闹钟功能,在实验中测试成功
Can manually school, the whole point of clock time, with alarm clock function, in experiments to test the success of (2009-06-01, VHDL, 408KB, 下载2次)

http://www.pudn.com/Download/item/id/787302.html

[VHDL/FPGA/Verilog] clock

用VHDL实现的完整数字钟代码,时分秒计时、校时、整点仿电台报时。
Used to achieve a complete VHDL code digital clock, accurate time at school, the whole point of imitation time radio. (2009-05-21, VHDL, 288KB, 下载5次)

http://www.pudn.com/Download/item/id/770332.html

[其他书籍] 0IC_Design

名校微电子专业数字集成电路设计课件,共十三章。
Microelectronics elite professional digital IC design software, a total of 13 chapters. (2009-04-18, VHDL, 7486KB, 下载30次)

http://www.pudn.com/Download/item/id/720990.html

[VHDL/FPGA/Verilog] shi

数字钟的VHDL源程序,可以实现校时,校分等功能,并在试验箱上运行成功
The VHDL source code digital clock, you can achieve at school, school grade features, and success in the chamber is running on (2009-04-08, VHDL, 302KB, 下载5次)

http://www.pudn.com/Download/item/id/707067.html

[VHDL/FPGA/Verilog] shizhong

数字钟的VHDL源程序,可以实现校时,校分等功能,并在试验箱上运行成功
The VHDL source code digital clock, you can achieve at school, school grade features, and success in the chamber is running on (2009-04-08, VHDL, 303KB, 下载6次)

http://www.pudn.com/Download/item/id/707059.html

[VHDL/FPGA/Verilog] DigitalClock

VHDL的数字时钟程序 24小时计数显示; 具有校时功能(时,分) ; 实现闹钟功能(定时,闹响);
VHDL digital clock counting procedures showed that 24 hours with a school function (hours, minutes) the realization of an alarm clock function (timing, downtown ring) (2009-01-08, VHDL, 12KB, 下载6次)

http://www.pudn.com/Download/item/id/626994.html

[Windows编程] simpleclock

简易数字钟,包括时间显示时分秒各位的校时接口,输出BCD码
Simple digital clock, including the minutes and seconds time display when your school interface, the output BCD code (2009-01-02, VHDL, 45KB, 下载3次)

http://www.pudn.com/Download/item/id/622232.html

[单片机开发] clock

数字系统设计报告,多功能电子钟,显示年月日星期时分秒,及校时等功能
Digital system design report, multi-functional electronic bell, show date when the minutes and seconds a week, and school functions when (2009-01-02, VHDL, 131KB, 下载33次)

http://www.pudn.com/Download/item/id/622230.html

[汇编语言] digital_clk

此程序是实现数字钟的,包括校时 闹钟 二十四小时和十二小时的转换
This procedure is to achieve digital clock, including the school alarm clock 24 hours and 12 hours the conversion (2008-12-25, VHDL, 563KB, 下载3次)

http://www.pudn.com/Download/item/id/615604.html

[汇编语言] clk

设计一个简易数字钟,具有校时功能: 1、以至少6位LED数码管显示时、分、秒,时为24进制。 2、采用最多8个键实现校时功能。
The design of a simple digital clock with a school function: 1, to at least six LED digital display hours, minutes and seconds, time for the 24-band. 2, using up to eight key functions to achieve school. (2008-12-24, VHDL, 59KB, 下载2次)

http://www.pudn.com/Download/item/id/614840.html

[VHDL/FPGA/Verilog] vhdl_clock

VHDL数字钟设计程序 设计要求 基本要求: 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、实现闹钟功能(定时,闹响);
VHDL digital clock design process design requirements for the basic requirements: 1,24 hours count display 2, with a school function (hours, minutes) additional requirements: 1, the realization of an alarm clock function (timing, downtown ring) (2008-12-23, VHDL, 7KB, 下载129次)

http://www.pudn.com/Download/item/id/612884.html

[汇编语言] clock

EDA 数字钟实现文件 能够实现计时,闹钟,校时功能
EDA digital clock time to achieve the realization of paper, alarm clock, school functions (2008-12-18, VHDL, 176KB, 下载44次)

http://www.pudn.com/Download/item/id/608699.html

[其他] q

数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出来。校时电路器是用来对“时”“分”“秒”显示数字进行校时调整的。 在同一CPLD芯片口集成如下电路模块:
err (2008-12-18, VHDL, 6KB, 下载8次)

http://www.pudn.com/Download/item/id/608393.html

[其他] CLOCK

文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。
Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital clock. The main function of the completion are: time function, 24-hour time display through the Seven-Segment LED dynamic display time school settings function, can be set hours, minutes, seconds the stopwatch to start, stop, and maintain display and removal. (2008-12-11, VHDL, 178KB, 下载100次)

http://www.pudn.com/Download/item/id/602001.html

[单片机开发] clock

电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,sec:此三信号分别输出并显示时、分、秒信号, 皆采用BCD 码计数,分别驱动6 个数码管显示时间; alert: 输出到扬声器的信号,用于产生闹铃音和报时音; 闹铃音为持续20 秒的急促的“嘀嘀嘀”音,若按住“change”键, 则可屏蔽该音;整点报时音为“嘀嘀嘀嘀—嘟”四短一长音; LD_alert: 接发光二极管,指示是否设置了闹钟功能; LD_hour: 接发光二极管,指示当前调整的是小时信号; LD_min: 接发光二极管,指示当前调整的是分钟信号
err (2008-12-08, VHDL, 2KB, 下载34次)

http://www.pudn.com/Download/item/id/598880.html

[其他] watch

功能更强大的数字时钟,有年份,月,日,时,分,秒和星期,可以调校
More powerful digital clock, there are years, months, days, hours, minutes, seconds and weeks, you can adjust the (2008-11-05, VHDL, 3KB, 下载2次)

http://www.pudn.com/Download/item/id/573412.html

[VHDL/FPGA/Verilog] clock

以前学习VHDL语言时做的一个电子闹钟程序,可以实现时,分,秒的计时以及定时,校时,闹钟,整点报时的功能。
VHDL language before learning to do procedures in an electronic alarm clock, you can realize hours, minutes and seconds of time and from time to time, school time, alarm clock, the whole point timekeeping function. (2008-09-25, VHDL, 166KB, 下载13次)

http://www.pudn.com/Download/item/id/551899.html

[VHDL/FPGA/Verilog] dianzishezhong

电子时钟 EDA 基本要求: 24小时计数显示; 具有校时功能(时,分) 附加要求 1、秒表功能(复位,计时
Electronic clock EDA basic requirements: a 24-hour count showed with a school function (hours, minutes,) Additional requirement 1, stopwatch functions (reset, clock (2008-09-18, VHDL, 3KB, 下载33次)

http://www.pudn.com/Download/item/id/548236.html
总计:129