联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期  
按分类查找All 单片机开发(212) 

[单片机开发] ISD4004(2)

一种基于单片机校制ISD4004语音芯片应用电路
A school system based on single-chip voice chip ISD4004 Circuit (2009-07-16, PDF, 141KB, 下载13次)

http://www.pudn.com/Download/item/id/846696.html

[单片机开发] 51

包含了一些单片机的综合运用 有LCD1602的时钟日历 单片机唱歌 07年全国电子大赛的跷跷板小车 看门狗程序 我现在是在校生一直在努力的提高自己 非常希望能成为贵站的会员。
Contains a number of single-chip microcomputer has the comprehensive use of single-chip LCD1602 clock calendar in 2007 to sing the national electronic competition watchdog procedures seesaw car I am now in school has been working very much hope that the improvement of their own to become a member of贵站. (2009-07-13, C/C++, 8KB, 下载15次)

http://www.pudn.com/Download/item/id/843168.html

[单片机开发] clock

1、学习定时器T1溢出中断的使用 2、学习电脑时钟的编程方法 3、在程序运行时按SHIFT进行校时 使用注意: 1、应包含头文件slavr.h 2、在工程选项中的Additional Lib中填入slavr 使用编译器使用附加库文件
1, T1 timer overflow interrupt to learn the use of 2, to learn computer programming clock 3, the procedures for running the school by the use of SHIFT Note: 1, should include the header file slavr.h 2, in the project options Additional Lib fill slavr use the compiler to use the additional library file (2009-07-12, C/C++, 1KB, 下载2次)

http://www.pudn.com/Download/item/id/841509.html

[单片机开发] introductionaboutEasyarm8962

lm8962的介绍,是一个入门级的文件。本文件在手,入门无忧
Introduction lm8962 is a entry-level document. This document is in hand, worry-free entry (2009-06-16, C/C++, 143KB, 下载3次)

http://www.pudn.com/Download/item/id/808908.html

[单片机开发] clock

电子时钟功能与使用 该电子钟由于使用12MHZ晶振,故而能获得较高精度的时间。它能同时显小时,分钟和秒。设有两个按键:A和B键。A键用于选择要校正的目标,是小时,分钟还是秒,按第一下时,选择秒,按第二下则选分钟,第三下则选小时,第四下则退出校时,第五下又选秒,如此循环,可选择校时或退出校时。B键每按一次,所选对象就会加1,比如,已选择分钟,那么每按一下此键,分钟数目就会加上1。
Electronic clock function and the use of the bell as a result of the use of e-12MHZ crystal, they are able to obtain a higher accuracy of the time. At the same time it can significantly hours, minutes and seconds. There are two buttons: A and B keys. A key is used to select the goal of correction is hours, minutes or seconds, the next by the first, the choice of seconds, according to the election under the second minute, the third hour of the election, has withdrawn from school under the fourth, the fifth another election under the second, and so the cycle of school or may choose to withdraw from school. B button every time, the selected object will be plus 1, for example, have chosen to minutes, then each click on this button will add the number of 1 minute. (2009-06-11, Asm, 56KB, 下载26次)

http://www.pudn.com/Download/item/id/803567.html

[单片机开发] CalendarTemperatureClockMmusicSerialSchoolChoice

万年历+温度+闹钟+音乐选择+串口校时 可Proteus仿真
Calendar+ temperature+ clock+ music+ serial school choice (2009-05-21, C/C++, 32KB, 下载9次)

http://www.pudn.com/Download/item/id/771182.html

[单片机开发] time

简易时钟,含分时校时功能,在keil环境下编写,含proteus原理图
Simple clock, with time at school functions in the preparation of keil environment, including schematic proteus (2009-05-17, C/C++, 46KB, 下载3次)

http://www.pudn.com/Download/item/id/764692.html

[单片机开发] ZLG7289

ZGL7289全套中文资料+实例 ZLG7289B是广州周立功单片机发展有限公司自行设计的,具有SPI串行接口功能的可同时驱动8位共阴式数码管(或64只独立LED)的智能显示驱动芯片,该芯片同时还可连接多达64键的键盘矩阵,单片即可完成LED显示﹑键盘接口的全部功能,该芯片经过了多年的验证,现正式转化为ASIC芯片,一次性流片成功,让您使用无忧!。ZLG7289B内部含有译码器,可直接接受BCD码或16进制码,并同时具有2种译码方式,此外,还具有多种控制指令,如消隐﹑闪烁﹑左移﹑右移﹑段寻址等。ZLG7289B具有片选信号,可方便地实现多于8位的显示或多于64键的键盘接口。
Notes : Dimens ions D and E 1 do not include mold flash or protrus ions . Mold flash or protrus ions shall not exceed .010” ( 0.254mm) per s ide. J E DE C E quivalent: MO-011 Drawing No. C04-079 (2009-05-17, Visual C++, 1042KB, 下载133次)

http://www.pudn.com/Download/item/id/764357.html

[单片机开发] digclock

有关数字钟的,实现校时报时功能,有源程序和波形图
failed to translate (2009-05-10, Others, 334KB, 下载4次)

http://www.pudn.com/Download/item/id/752321.html

[单片机开发] J1939

基于J1939的汽车CAN总线教学实验系统 无忧电子开发网-技术文章
J1939-based CAN bus vehicles to worry about e-teaching experimental system Development Network- Technical Article (2009-05-02, C/C++, 9KB, 下载78次)

http://www.pudn.com/Download/item/id/739860.html

[单片机开发] ADsample

1参考电压需要足够精确,推荐使用外部高精准参考电压. 2如果PGA可调,增益系数一般是越小噪声越低. 3一般最好用到满量程,此时AD精度不浪费. 4如果有偏置,需要进行自校. 5请注意在使用DEMO板调试时,会由调试口导入PC噪声,由信号连接线导入外部噪声,因此建议使用屏蔽电缆传输信号.
A sufficiently accurate reference voltage needs to recommend the use of an external high-precision reference voltage. 2 If the PGA adjustable gain coefficient the smaller the noise is generally lower. 3 generally best to use full-scale, precision is not a waste of time AD. 4 If there is bias, since the need for school. 5 Please note that the use of DEMO board debugging, the debug port to import from PC noise, by the signal cable into the external noise, it is proposed the use of shielded cable transmission signal. (2009-05-01, Visual C++, 1KB, 下载1次)

http://www.pudn.com/Download/item/id/739676.html

[单片机开发] Digitalelectricclock

数字电子钟是一种精确的计时工具,它精确显示秒、分、时,是一种比传统机械表更灵活方便的钟表。还可附加闹铃,报时等功能。因而在日常生活的各种领域应用广泛。数字电子钟由秒信号发生器、“时、分、秒”计数器,译码器及显示器,校时电路组成。秒信号发生器是整个系统的时基信号,作为秒脉冲送入计数器,计数结果通过“时、分、秒”译码器显示时间
Digital electric clock is an exact timing tool, it is precisely that, when, is a more flexible than the traditional mechanical clocks convenience. Still can add alarm, time, etc. Therefore in the daily life of various fields. Digital electric clock by the second signal generator, "when, minutes and seconds" decoder and monitor the counter, school, when the circuit. Second signal generator is the system of signal, as the yankees pulse, count results through to counter ", minutes and seconds "decoder shows the time. (2009-04-14, WORD, 86KB, 下载5次)

http://www.pudn.com/Download/item/id/715936.html

[单片机开发] MCU51_clock_sourcecode

一个基于51单片机的时钟电路设计源代码 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
source code for 51 MCU clock (2009-03-22, Asm, 618KB, 下载15次)

http://www.pudn.com/Download/item/id/682847.html

[单片机开发] GPS_Introduce

本文档主要是关于电力系统中GPS对时系统的应用,内容包括变电站GPS的对时介绍及方案,电力系统GPS同步授时装置的设计及综合校时方案的研究,基于CAN网络的整车授时系统设计,GPS在电力系统中的应用,SLU-3000型卫星定位网络校时系统说明书等。
This document is mainly about power system when the system of GPS applications, including substation when the introduction of GPS and the program, the power system GPS time synchronization equipment design and the comprehensive school program of study, based on the vehicle CAN network time system Design, GPS in power system applications, SLU-3000-based satellite positioning system CyberSchoolBus when such instructions. (2009-02-27, DOS, 403KB, 下载53次)

http://www.pudn.com/Download/item/id/655322.html

[单片机开发] clock_with_alarm

单片机实现的时钟程序,可以调校,定时闹钟
MCU clock procedures, can be adjusted from time to time clock (2009-02-01, Asm, 20KB, 下载4次)

http://www.pudn.com/Download/item/id/636655.html

[单片机开发] clock

数字系统设计报告,多功能电子钟,显示年月日星期时分秒,及校时等功能
Digital system design report, multi-functional electronic bell, show date when the minutes and seconds a week, and school functions when (2009-01-02, VHDL, 131KB, 下载33次)

http://www.pudn.com/Download/item/id/622230.html

[单片机开发] clock

电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,sec:此三信号分别输出并显示时、分、秒信号, 皆采用BCD 码计数,分别驱动6 个数码管显示时间; alert: 输出到扬声器的信号,用于产生闹铃音和报时音; 闹铃音为持续20 秒的急促的“嘀嘀嘀”音,若按住“change”键, 则可屏蔽该音;整点报时音为“嘀嘀嘀嘀—嘟”四短一长音; LD_alert: 接发光二极管,指示是否设置了闹钟功能; LD_hour: 接发光二极管,指示当前调整的是小时信号; LD_min: 接发光二极管,指示当前调整的是分钟信号
err (2008-12-08, VHDL, 2KB, 下载34次)

http://www.pudn.com/Download/item/id/598880.html

[单片机开发] dianzizhong

这是我在学校做电子钟留下的资料 希望能给你带来帮助
This is my electronic bell in the school do hope that they will be left behind by the information to help you (2008-10-12, C/C++, 4KB, 下载16次)

http://www.pudn.com/Download/item/id/559735.html

[单片机开发] 51lib

51子程序库,供大家做开发用到时,参考!也可作为学习工具.
51蟹谐袚鞋邪褣袩褌, 袡袎袛褤袦胁蟹褨袩袏袟袀谐校袝袧歇袘, 袙挟袩袦! 袙袙袩些蟹褩挟袏斜袊携袗袡袆袨锌. (2008-08-24, Asm, 231KB, 下载6次)

http://www.pudn.com/Download/item/id/533842.html

[单片机开发] mcu_exp

是我在网上收集的一些单片机方面的经验之谈,看看后应该有帮助
歇效挟胁写泻协褬些携歇械袦袕袝肖胁袥邪袎袝袇笑袑袥褮袟袧校褑袝肖袨斜褖卸袔鞋袠袃袑袩袛袩袛袣褤谐袉袠校谐邪袗褟卸褮 (2008-08-07, C/C++, 61KB, 下载2次)

http://www.pudn.com/Download/item/id/524576.html
总计:212