联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按平台查找All VHDL(1616) 

[VHDL/FPGA/Verilog] 8-bit-Computer

用VHDL实现一台8位计算机以及一个最小汇编程序
Implementation of an 8-bit computer in VHDL, along with a minimal assembler (2021-02-19, VHDL, 72378KB, 下载0次)

http://www.pudn.com/Download/item/id/1613726798574779.html

[处理器开发] DG5301066_x86

PS与PL通信质量的源码或编程资料才能开通
comuntionQuality source code or programming materials can be opened (2020-09-28, VHDL, 35479KB, 下载0次)

http://www.pudn.com/Download/item/id/1601273610505950.html

[VHDL/FPGA/Verilog] Bell

这个是一个驱动蜂鸣器的实验,采用HDL语言编程实现。
The bell code is design by HDL. (2017-03-04, VHDL, 503KB, 下载1次)

http://www.pudn.com/Download/item/id/1488619788219605.html

[VHDL/FPGA/Verilog] for-EDA1

EDA 课程设计简易电子琴装置 基于maxplus的图形化编程软件
EDA curriculum design simple keyboard device based graphical programming software maxplus (2014-03-12, VHDL, 650KB, 下载3次)

http://www.pudn.com/Download/item/id/2481229.html

[VHDL/FPGA/Verilog] DPSK

使用VHDL编程语言编写的有关DPSK调制解调器的源代码,欢迎使用,谢谢!!
Using VHDL programming language source code related DPSK modem, welcome, thank you! ! (2014-02-20, VHDL, 4KB, 下载8次)

http://www.pudn.com/Download/item/id/2465984.html

[VHDL/FPGA/Verilog] gate4

运用verilog 语言编程,实现4输入逻辑门设计,利用ISE软件仿真,把程序下载到BASY2开发板上运行实现。
BASY2 engineered for ISE (2013-12-20, VHDL, 10KB, 下载2次)

http://www.pudn.com/Download/item/id/2432138.html

[VHDL/FPGA/Verilog] Verilog-by-zhouligong

周立功verilog精华资料,是学习FPGA编程的很好资料。
Zhou, who verilog essence of information, learning good information FPGA programming. (2013-07-23, VHDL, 459KB, 下载1次)

http://www.pudn.com/Download/item/id/2312297.html

[VHDL/FPGA/Verilog] CPLD-Applications-and-VHD

数字系统设计-CPLD应用与VHDL编程 第一版 英文版
Digital Design with CPLD Applications and VHDL (2013-06-15, VHDL, 7240KB, 下载4次)

http://www.pudn.com/Download/item/id/2279588.html

[VHDL/FPGA/Verilog] step-machine

fpga课程设计中的步进电机简易编程代码,VHDL语言。
FPGA curriculum design stepper motor simple programming code, VHDL language. (2013-04-23, VHDL, 118KB, 下载9次)

http://www.pudn.com/Download/item/id/2212650.html

[VHDL/FPGA/Verilog] seven-segment-LED-display-circuit

利用FPGA编程-------实现“七段LED显示电路设计”
FPGA programming------- achieve the seven-segment LED display circuit design (2012-05-02, VHDL, 286KB, 下载4次)

http://www.pudn.com/Download/item/id/1852097.html

[VHDL/FPGA/Verilog] Adc

FPGA(xilinx可编程芯片)试验箱 实验程序1 模拟/数字转换ADC
FPGA(xilinx)test bos program1 ADC (2012-02-11, VHDL, 776KB, 下载8次)

http://www.pudn.com/Download/item/id/1769612.html

[VHDL/FPGA/Verilog] VHDL

3-8译码器 与程序 164译码器 时钟编程的VHDL程序
3 to 8 decoder and program 164 decoder clock of VHDL program. Programming (2011-09-07, VHDL, 19KB, 下载5次)

http://www.pudn.com/Download/item/id/1641082.html

[VHDL/FPGA/Verilog] frequency

使用两种不同方法实现简单分频:版图法和程序编程法!
Using two different methods for simple frequency: the territory of law and procedural programming! (2010-10-03, VHDL, 58KB, 下载5次)

http://www.pudn.com/Download/item/id/1309272.html

[VHDL/FPGA/Verilog] EDAtechnologies

此为本人学习VHDL语言时的笔记,内容非常详细,对于初学EDA技术的人来讲是很好参考知识。其中包括简单的编程和较复杂的编程,很有用的东西。
This is my notes when learning VHDL language, the content is very detailed and EDA technologies for the beginner who is a good reference in terms of knowledge. These include simple programming and more complex programming, useful things. (2010-05-22, VHDL, 123KB, 下载3次)

http://www.pudn.com/Download/item/id/1184083.html

[嵌入式/单片机/硬件编程] control1

控制类对可编程逻辑电路进行控制,输入按键信息输出控制信息。
Programmable logic control class to control the input key information output control information. (2010-05-14, VHDL, 2KB, 下载2次)

http://www.pudn.com/Download/item/id/1172070.html

[其他] jff

在EDA开发上的12\24小时切换的时钟编程。
In the EDA development on 12 \ 24-hour clock to switch programming. (2009-10-12, VHDL, 374KB, 下载3次)

http://www.pudn.com/Download/item/id/935459.html

[VHDL/FPGA/Verilog] CPLD

天祥视频中的所有程序,希望能对爱好CPLD编程的人有所帮助
Tienhsiang video in all programs, hoping to those who love CPLD programming help (2009-09-28, VHDL, 734KB, 下载17次)

http://www.pudn.com/Download/item/id/924708.html

[VHDL/FPGA/Verilog] ISE_9.1i_quick_start_tutorial

简单易懂的Xilinx公司的专用FPGA编程软件快速入门教程
Quick Start Guide to the software of ISE of Xilinx (2009-08-18, VHDL, 598KB, 下载40次)

http://www.pudn.com/Download/item/id/882211.html

[VHDL/FPGA/Verilog] FPGA_CPLDDDDD

可编程逻辑器件 pld/fpga,vhdl/verilog的相关学习资料,设计技巧
Programmable logic device pld/fpga, vhdl/verilog relevant learning materials, design techniques (2009-04-12, VHDL, 4911KB, 下载5次)

http://www.pudn.com/Download/item/id/711739.html

[VHDL/FPGA/Verilog] vga

使用方法: vga编程,拷贝到硬盘,用ISE打开工程文件即可
Usage: vga programming, copied to the hard drive, open the project file with ISE can (2008-12-09, VHDL, 126KB, 下载8次)

http://www.pudn.com/Download/item/id/600073.html
总计:1616