联合开发网   搜索   要求与建议
                登陆    注册
排序按匹配   按投票   按下载次数   按上传日期
按平台查找All VHDL(1610) 

[VHDL/FPGA/Verilog] FPGalaxy

现场可编程银河-太空射击游戏
Field programmable Galaxy - space shooter game (2013-07-18, VHDL, 46KB, 下载0次)

http://www.pudn.com/Download/item/id/1374152971930992.html

[VHDL/FPGA/Verilog] IHW

在verilog中编程MIPS CPU。
Programming a MIPS CPU in verilog. (2019-05-18, VHDL, 4013KB, 下载0次)

http://www.pudn.com/Download/item/id/1558163265739447.html

[VHDL/FPGA/Verilog] gate_consctruct

verilog语言编程,通过编程演示门级建模的使用方法,程序简单易懂,易上手。
verilog programming language by using the method of programming demo gate-level modeling procedures easy to understand, approachable. (2016-11-15, VHDL, 4KB, 下载1次)

http://www.pudn.com/Download/item/id/1479218771818665.html

[VHDL/FPGA/Verilog] ft245bmusb

基于ft245的Verilog驱动编程,有具体的代码和工程,接上硬件即可使用
Ft245 based on Verilog-driven programming, there are specific code and engineering, connected hardware to use (2015-05-14, VHDL, 5498KB, 下载24次)

http://www.pudn.com/Download/item/id/1431595850417461.html

[VHDL/FPGA/Verilog] xappZYNQ

FPGA实现网络编程,源自赛灵思,很实用,对FPGA的网络学习与参考价值
fpga achieve network programming, xilinx, very practical, to learn to write with reference value FPGA network (2015-03-12, VHDL, 15792KB, 下载5次)

http://www.pudn.com/Download/item/id/1426126527349471.html

[VHDL/FPGA/Verilog] fpga_verilog_top

fpga编程提高篇,led灯测试程序,本人亲测。
fpga programming to improve the papers, led lamp test program, I pro-test. (2014-09-23, VHDL, 1200KB, 下载3次)

http://www.pudn.com/Download/item/id/2625812.html

[VHDL/FPGA/Verilog] jishuqi

这是一个与硬件编程有关的文档。本文档记录了如何实现可编程计数器。
This is a hardware programming related documentation. The documentation on how to implement a programmable counter. (2014-06-16, VHDL, 4KB, 下载2次)

http://www.pudn.com/Download/item/id/2568126.html

[VHDL/FPGA/Verilog] xiayuwen_Verilog

夏宇闻的Verilog编程的指导书,非常经典,是FPGA编程的入门教程
Xia Wen' s Verilog programming guide book, a very classic, FPGA programming Tutorial (2014-03-04, VHDL, 1295KB, 下载3次)

http://www.pudn.com/Download/item/id/2475165.html

[VHDL/FPGA/Verilog] Quarts-example

Quarts实例程序,在MAX3128A的器件上实现的编程。供初学者参考使用
The Quarts instance programming procedures MAX3128A devices. Reference for beginners to use (2012-12-13, VHDL, 190KB, 下载4次)

http://www.pudn.com/Download/item/id/2082552.html

[VHDL/FPGA/Verilog] CoolRunner-XPLA3PCPLD

XPLD3 详细的描述了复杂可编程逻辑器件中的扩展型可编程逻辑器件的性能指标。
XPLD3 detailed description of the extended complex programmable logic device performance programmable logic devices. (2012-10-13, VHDL, 159KB, 下载3次)

http://www.pudn.com/Download/item/id/2014518.html

[VHDL/FPGA/Verilog] Verilog

Verilog硬件语言,学习基本Verilog,FPGA编程。
Verilog hardware description language (2012-08-12, VHDL, 2859KB, 下载5次)

http://www.pudn.com/Download/item/id/1963694.html

[DSP编程] ex4_SCI

Ti dsp2407 SCI 编程源代码
Ti dsp2407 SCI code (2011-08-02, VHDL, 24KB, 下载6次)

http://www.pudn.com/Download/item/id/1613191.html

[VHDL/FPGA/Verilog] jiafaqi

计算机组成原理实验中加法器的verylog编程
computer (2010-11-02, VHDL, 305KB, 下载4次)

http://www.pudn.com/Download/item/id/1334085.html

[VHDL/FPGA/Verilog] serial

串行转并行的VHDL源代码,结构化编程,学习模块化编程和实用性都很大。
Serial transfer parallel VHDL source code, structured programming, modular programming and practical learning are great. (2009-12-09, VHDL, 13KB, 下载68次)

http://www.pudn.com/Download/item/id/998343.html

[VHDL/FPGA/Verilog] PROGRAMMING

怎么编程设计好CPLD,外文资料,对学CPLD/FPGA的人应该有帮助的
Programming how good CPLD, foreign language materials, learning CPLD/FPGA of the people should be helpful (2009-09-28, VHDL, 1595KB, 下载5次)

http://www.pudn.com/Download/item/id/924693.html

[VHDL/FPGA/Verilog] USNavyVHDLModellingGuide

美国海军原版VHDL编程指南,其严谨的代码风格和编程规范值得大家认真学习
U.S. Navy original VHDL Programming Guide, and its strict code and programming style norms everyone deserves serious study (2009-02-24, VHDL, 883KB, 下载9次)

http://www.pudn.com/Download/item/id/652355.html

[VHDL/FPGA/Verilog] lab4_VHDL

这是基于VHDL的编程练习,适合于初学者学习VHDL编程,通俗易懂,简明扼要。
This is based on the VHDL programming exercises, suitable for beginners to learn VHDL programming, user-friendly and concise. (2008-04-19, VHDL, 23KB, 下载4次)

http://www.pudn.com/Download/item/id/441219.html

[VHDL/FPGA/Verilog] lab3_VHDL

这是基于VHDL的编程练习,适合于初学者学习VHDL编程,通俗易懂,简明扼要。
This is based on the VHDL programming exercises, suitable for beginners to learn VHDL programming, user-friendly and concise. (2008-04-19, VHDL, 47KB, 下载1次)

http://www.pudn.com/Download/item/id/441218.html

[VHDL/FPGA/Verilog] lab2_VHDL

这是基于VHDL的编程练习,适合于初学者学习VHDL编程,通俗易懂,简明扼要。
This is based on the VHDL programming exercises, suitable for beginners to learn VHDL programming, user-friendly and concise. (2008-04-19, VHDL, 41KB, 下载2次)

http://www.pudn.com/Download/item/id/441217.html

[VHDL/FPGA/Verilog] Nios

nois 2cpu 硬件实现编程,在fgja上实现软核
nois 2cpu hardware programming, in the realization of soft-core fgja (2008-04-12, VHDL, 1146KB, 下载68次)

http://www.pudn.com/Download/item/id/435737.html
总计:1610